格芯的前十年:找到中心

作者: Dave Lammers

系列文章中的第二部分(共三部分):回顾格芯的前十年、展望未来十年以及更远的将来。

在格芯发展的前十年中,代工厂遭遇了前所未有的挑战,从考验全体员工勇气的技术转型到如何将格芯与其他竞争对手区分开来的决策。

从2009年仅仅可为AMD提供一些技术解决方案到如今的发展规模,格芯是如何借助一系列基于RF的解决方案占据市场主导地位,并在全耗尽式SOI中大力发展,这无疑是过去十多年间半导体行业最有意思的事情。

打造规模化全球半导体制造商之旅 资料来源:格芯

我和Gregg Bartlett谈过此事,他从公司成立就进公司了,现在是格芯的工程和技术主管。Bartlett记得,2009年他刚加入格芯就立即投入到新代工厂的严苛工作中。但正是在这一时期,管理层学会了评估技术和产品转型可以承担的风险,这是一次宝贵的经验。

富有挑战的开始

2009年初,在阿布扎比穆巴达拉投资基金的资金支持下,格芯从AMD中剥离出来,并立即受命利用新的32nm高k/金属栅极工艺推出新AMD产品架构。结果发现这两者组合很难实现大规模量产。

Bartlett回忆说,AMD的旗舰新品“APU”率先将图形处理引擎和CPU内核结合在一个芯片上,需要在格芯位于德国德累斯顿的旗舰晶圆厂集中生产。Bartlett说:“这是一段相当令人沮丧的时期,非常艰难,但我们坚持了下来。”

Patrick Moorhead现在是Moor Insights & Strategy的行业分析师,十年前他是AMD管理团队的成员。“如果从历史的角度来看,Llano拥有与APU集成的首批大型图形IP之一。当时,没有任何一款智能手机处理器能够提供类似功能。但是事情进展并不顺利,要继续坚持下去就得面临超乎寻常的挑战。”

Bartlett说,格芯管理层学到的主要经验就是管理好所承担的风险。“刚走出困境,格芯又试图攻克新的难题,推出一种革命性的新硅技术。我们设法改变芯片架构,同时进行技术创新。看看今天我们的公司,可以说我们学到了很多,与客户合作以及通过客户设计创新更好地管理技术创新。”

从3个客户到300个客户

2010年,在穆巴达拉基金领导层的支持下,格芯的管理层收购了Chartered Semiconductor。格芯和德累斯顿晶圆厂只为一小部分客户生产了几种不同的产品,这些客户需要晶圆厂能提供前沿技术。Bartlett说格芯的管理层意识到,要学会运用各种不同的工艺技术来满足不同的客户需要。

“然后我们问自己:如何将一家只有3个客户的晶圆厂发展成拥有30个客户,然后是300个客户的晶圆厂?如何改变业务流程?答案当然是:不容易。Chartered为我们带来了同时为数百名客户服务的技能和流程,”Bartlett说道,并称赞Chartered新加坡团队将“客户导向”文化引入格芯。

格芯于2010年1月13日收购了Chartered Semiconductor新加坡晶圆厂。Chartered Semiconductor最初于1995年开设了这家晶圆厂。它是最早的200mm晶圆厂之一。资料来源:格芯

他说:“Chartered规划出如何为客户制定应急计划,以确保他们能成功打入市场。”

Bartlett赞扬了穆巴达拉投资基金的领导人,称他们“愿意在收购Chartered方面进行大笔投资,因为他们知道这项收购具有相当大的变革意义”。此次收购为格芯增添了位于新加坡的200mm和300mm晶圆厂,以及大约200家客户。收购标志着新代工厂转型的开始,也创造了服务众多客户的流程。

“这是一种非有机转变,加速了我们作为全球代工厂的运作能力。目前,新加坡是我们公司非常成功的一部分,其工艺技术和人才迅速发展,”Bartlett说。

一次非常偶然的合作

借助德累斯顿在32/28nm节点的工作经验,五年后,新的马耳他晶圆厂在面临14nm节点的挑战时首次引入了FinFET。

这次,格芯和AMD顺利度过了难关,Moorhead描绘了完美的结局:“当Ryzen的第一个版本(AMD 14nm)面世时,作为一名行业分析师,我不得不问自己,‘有什么是不可能的?’样品出货几千件是一回事,但出货几十万件甚至几百万件则是另一回事。至少可以这么说,这是一次了不起的进步。而要做到这一点,缓存单元尺寸、晶体管性能、功耗和散热方面都要优于英特尔的许多产品,确实是了不起。”

在纽约萨拉托加县,格芯奠基仪式的正式举行标志着2号行政办公大楼作为8号晶圆厂的一部分开始建设。资料来源:格芯
学会合作

为了取得14nm的成功,格芯进行了客观的自我评估。当时担任格芯首席技术官的Bartlett回忆说,当时IBM技术联盟的成员对14nm节点有着不同的看法。IBM正研究一种基于SOI的工艺,包括其深沟槽嵌入式DRAM,而格芯和三星则分别采用不同的方法朝着体硅CMOS FinFET 14nm工艺发展。

格芯和三星就是否合作以及如何合作的讨论时断时续,但他们都意识到对于移动领域的大客户来说,合作是管理供应风险的有利办法。这推动格芯和三星就单一技术的部署展开了合作。

“很明显这时就需要开始合作了,结果证明这是非常有益的。”Bartlett说。通过与三星在14nm上的合作,格芯加速了向高量产制造的转型。与此同时,格芯在马耳他的技术开发团队得以发展壮大,该团队目前正为更多的应用程序和客户针对平台实施差异化。

借助FD-SOI进行差异化的第一步

Bartlett说,在收购IBM微电子业务的过程中,管理层得出结论,格芯需要技术多样化战略,以区别其主要竞争对手在28nm节点的工作。

“FD-SOI是我们进行技术平台差异化的第一个有意识的选择。我们决定面向我们认为需要物联网和集成射频等FD-SOI的细分市场推出卓越的技术解决方案,而不是去模仿行业领先者,”Bartlett说。

格芯的22FDX平台技术依赖于平面晶体管和全耗尽式SOI晶圆 资料来源:格芯

去年,由Tom Caulfield领导的格芯新管理团队认为要从7nm转向与我们的客户建立更紧密的联系,这需要我们能够做出艰难决定并灵活变通。这种转变释放了开发资源,从而在格芯大多数客户群使用的技术平台上进行更多投资,包括12nm FinFET、22FDX®、RF、硅光子和其他平台。

Moorhead说,他相信22FDX工艺在边缘处理方面具有功耗优势,并且随着5G RF和数据处理趋于一致,边缘处理将发挥主要作用。“格芯面临着很大的机会。5G以及所有相关电路将从根本上与物联网相连。这将定义未来十年。它让我们实现超连接,”Moorhead说。

我问Bartlett,格芯在前十年的经历是否塑造了一支经得起战斗考验的员工队伍,并能更从容地应对未来的挑战。

“我们从成立的前十年中学到了很多,明白了哪些决定是正确的,哪些决定是错误及其错误原因。当我们作出错误决定时,格芯能够作为一个团队一起面对,这意味着我们能够更好地应对未来的挑战。”他说。

“我们以后还会犯错吗?很有可能,但不会是因为我们没有考虑风险。公司发展到现在,我们从中学到了很多,例如,如何支持我们的客户,如何管理自己所承担的风险,最重要的是当客户的需求与我们的核心能力一致时,与客户保持紧密联系。我们对自已有清醒的认识,清楚地知道自己的核心竞争力,并希望借助这些能力在未来的道路上奋力前行。”

在本系列文章的最后一部分,我们将探讨格芯的未来,以及它的差异化产品组合将如何帮助客户并改变这个影响世界的行业。

关于作者

Dave Lammers
Dave Lammers是固态技术特约撰稿人,也是格芯的Foundry Files的特约博客作者。他于20世界80年代早期在美联社东京分社工作期间开始撰写关于半导体行业的文章,彼时该行业正经历快速发展。他于1985年加入E.E. Times,定居东京,在之后的14年内,足迹遍及日本、韩国和台湾。1998年,Dave与他的妻子Mieko以及4个孩子移居奥斯丁,为E.E Times开设德克萨斯办事处。Dave毕业于美国圣母大学,获得密苏里大学新闻学院新闻学硕士学位。

 

GLOBALFOUNDRIES Qualifies Synopsys Fusion Design Platform on 12LP FinFET Platform

Synopsys, Inc. (Nasdaq: SNPS) today announced that GLOBALFOUNDRIES® (GF®) has qualified Synopsys’ Fusion Design Platform™ for its 12-nanometer (nm) Leading-Performance (12LP) FinFET platform. Optimized for the high-performance and low-power requirements of artificial intelligence (AI), cloud computing, and mobile system-on-chips (SoCs), the production-ready flow is based on the silicon-proven RTL-to-GDSII 12LP foundry reference flow and incorporates Synopsys Advanced Fusion technologies for best quality-of-results (QoR) and time-to-results (TTR) in FinFET designs.

GLOBALFOUNDRIES Brings New Level of Security and Protection on 22FDX Platform for Connected Systems

22FDX security solution aims to protect against physical tampering and attacks for cellular Internet of Things (IoT) devices

Santa Clara, Calif., October 10, 2019 – GLOBALFOUNDRIES® (GF®), the world’s leading specialty foundry, announced today that it is working with Arm® to offer secure system-on-chip (SoC) solutions on GF’s 22FDX® platform, based on FD-SOI, for cellular IoT applications.

With the growing threat of reverse engineering and other illegal threats to IP, it is imperative to protect complex electronic systems at their foundation with hardware-based security IP solutions, including crypto cores, hardware trust and high-speed protocol engines. GF’s 22FDX platform with Arm® CryptoIsland™ on-chip security enclave provides an on-die, hardware-secure solution enabling easy integration of front-end modules (FEM), RF, baseband, embedded MRAM and cryptographic capabilities into a single IoT SoC, while significantly reducing costs.

“In a world where billions of devices are generating data across smart cities, rural environments, and digitally transformed industries, in order to deliver true insight, security cannot be optional,” said Vincent Korstanje, vice president and general manager, Emerging Businesses at Arm. “Customers designing for mobile and IoT applications will benefit from the CryptoIsland technology on GF’s 22FDX highly integrated, energy-efficient platform, providing new levels of security that is easy to deploy at a cost point that scales.”

“With so many conduits to the Internet and the growing importance of cyberattack defenses, we believe chip security will only grow in importance for the industry and our clients in the years ahead,” said Ed Kaste, vice president of Industrial and Multi-Market at GF. “By leveraging our 22FDX platform and applying Arm’s powerful CryptoIsland security subsystem, we can jointly offer a highly integrated security solution to our mutual clients that will unlock new levels of cellular IoT integration, offering new secure identity capabilities for cellular IoT applications.”

​GF’s 22FDX provides a fast path-to-product solution that includes silicon-qualified IP. The 22FDX security solution is now under development on GF’s state-of-the-art 300mm production line at Fab 1 in Dresden, Germany.

About GF

GLOBALFOUNDRIES (GF) is the world’s leading specialty foundry. We deliver differentiated feature-rich solutions that enable our clients to develop innovative products for high-growth market segments. GF provides a broad range of platforms and features with a unique mix of design, development and fabrication services. With an at-scale manufacturing footprint spanning the U.S., Europe and Asia, GF has the flexibility and agility to meet the dynamic needs of clients across the globe. GF is owned by Mubadala Investment Company. For more information, visit globalfoundries.com.

Contact:

Erica McGill
GLOBALFOUNDRIES
(518) 795-5240
[email protected]

GLOBALFOUNDRIES and Racyics GmbH Demonstrate Ultra-Low-Power Microcontroller for the Internet of Things

Record silicon results to be presented tomorrow at GTC 2019 in Munich, made possible by the adaptive body biasing capability on GF’s 22FDX® platform, along with Racyics’ IP

Santa Clara, Calif. and Dresden, Germany, October 10, 2019 – A major limiting factor in the growth of Internet of Things (IoT) applications is the amount of power consumed by the edge devices used in IoT networks, and as a result, there is an urgent need to find ways to use far less power to operate them. Tomorrow, GLOBALFOUNDRIES® (GF®), the world’s leading specialty foundry, and Racyics GmbH will unveil a major breakthrough in the race to build more power-efficient IoT devices: record-breaking ultra-low-power operation of a commonly used microcontroller core for mixed-signal IoT applications, built on GF’s 22FDX® platform.

At GF’s annual Global Technology Conference (GTC) in Munich, the companies will demonstrate a 22FDX-based 100MHz Arm® Cortex®-M4F microcontroller test chip with 84kB of SRAM, which demonstrated an ultra-high energy-efficiency of 6.88 µW/MHz. Key to this outstanding performance is the adaptive body bias (ABB) tunability inherent to 22FDX, along with Racyics’ circuit design approach which maximizes its advantages. 

The 22FDX platform with ABB capability enables designers to create circuits where transistor threshold voltage can be tuned, or optimized, to match an application’s requirements for energy efficiency, performance, area, reliability, or any combination. Leveraging this capability, Racyics offers a design IP called ABBX that enables the creation of circuits with reliable, predictable ultra-low voltage operation ​down to 0.4V. This is done by holistically considering process, supply voltage and temperature inputs to achieve power, performance and area goals with a high yield.

“The need for power-efficiency is urgent because SoCs (systems-on-chip) for IoT applications are projected to grow at a double-digit rate, fueled by diverse and increasingly sophisticated applications across smart city, agricultural, medical, industrial, smart home and other markets,“ said Ed Kaste, vice president of Industrial and Multi-Market at GF. “Although the needs of these applications vary, the most important consideration among all of them is ultra-low power consumption. The record-breaking circuit we produced and will discuss at GTC 2019 is tangible proof that our 22FDX platform offers best-in-class performance, power consumption and level of integration for IoT applications.”

“Our easy-to use turnkey ABBX solution is based on the standard design and sign-off flow, and delivers improved PPA (power, performance and area) results with guaranteed performance and power,” said Holger Eisenreich, CEO of Racyics. “T​he silicon results demonstrate the benefits of our holistic adaptive body biasing approach for ultra-low voltage designs.”

Cellular narrowband (NB-IoT) devices offer one example of why energy efficiency is such a critical IoT requirement. These devices are often expected to operate on battery power for up to 10 years, and to achieve this, sophisticated energy management techniques are used to activate specific functional blocks and power domains only as-needed. ​When in operation, extreme energy efficiency at the lowest operating voltages are key to achieving the most demanding power budget.

The Racyics ABBX solution is now qualified on GF’s 22FDX® platform.

About Racyics GmbH

Racyics, based in Dresden, Germany, is an experienced system-on-chip (SoC) design service and IP provider with a focus on advanced semiconductor nodes. We offer our customers a wide range of design services including custom IP and turnkey SoC solutions. For more information, please go to www.racyics.de.

About GF

GLOBALFOUNDRIES (GF) is the world’s leading specialty foundry. We deliver differentiated feature-rich solutions that enable our clients to develop innovative products for high-growth market segments. GF provides a broad range of platforms and features with a unique mix of design, development and fabrication services. With an at-scale manufacturing footprint spanning the U.S., Europe and Asia, GF has the flexibility and agility to meet the dynamic needs of clients across the globe. GF is owned by Mubadala Investment Company. For more information, visit globalfoundries.com.

Contact:

Erica McGill
GLOBALFOUNDRIES
(518) 795-5240
[email protected]

GLOBALFOUNDRIES Acquires Smartcom’s PDK Engineering Team to Expand Worldwide Design Enablement Capacity

Acquisition strengthens overall process design capabilities and expands the company’s footprint in Europe

Santa Clara, Calif., October 10, 2019 – GLOBALFOUNDRIES® (GF®), the world’s leading specialty foundry, announced today that it has acquired the PDK (Process Design Kit) engineering team from Smartcom Bulgaria AD in Sofia, Bulgaria. The newly acquired team will enhance GF’s scale and capabilities, while strengthening competitiveness of its specialized application solutions to further position the company for growth and value creation.

Process Design Kits are the critical interface between a company’s integrated circuit (IC) design and the fabs, which manufacture the clients chip products. Since 2015, Smartcom has supported GF’s PDK development and quality assurance for platform technologies spanning from 350nm to 12nm. Under the terms of the acquisition, GF will acquire Smartcom’s PDK development team of more than 125 employees. The newly acquired team will be led by Dr. Dobromir Gaydazhiev, an experienced industry veteran who has been responsible for leading the business since its inception in 2003. The deal is expected to close in December 2019, following receipt of required regulatory approvals.

With this acquisition, GF is expanding its worldwide design enablement capabilities and strengthening its European footprint. The Sofia operations build on GF’s long established Fab 1 operations in Dresden, Germany, the company’s Bump Test Facility (BTF) and the AMTC mask house, a joint venture with Toppan. These three facilities with more than 3500 employees and a combined investment of more than US $12B are central to Silicon Saxony, Europe’s largest and most significant microelectronics cluster.

“Today is an exciting day for GF. As we continue to offer more feature-rich differentiated solutions for our clients, PDKs are becoming increasingly important,” said Thomas Caulfield, CEO of GF. “With the acquisition of Smartcom’s PDK development team, we are enhancing the depth and breadth of our capabilities and capacity in Europe and across the globe. We have worked with the Sofia team for many years and the quality of their work is unmatched in the industry. We fully expect to expand our investment in our worldwide PDK organization to meet the growing demands of our clients.”

“We are excited to join GF at this time and to be part of GF’s growth strategy,” said Dr. Dobromir Gaydazhiev, managing director PDK Services Bulgaria EAD. “Our collaboration goes back many years and our progress has been largely fueled by GF. The team and I are looking forward to become fully integrated into GF’s design enablement processes and to helping enable our clients’ products with our PDKs. We also appreciate the opportunity to engage in European programs and projects that bring together RTOs, IDMs, Design System Companies with Foundries to advance Europe’s capabilities and capacities in microelectronics.”

About Smartcom

Smartcom Bulgaria AD (Smartcom) is an innovation and technology driven private joint stock company, established in May 1990, which operates subsidiaries in UK and Turkey. The company specializes in: Microelectronics and Electronic Design Automation, Carrier Grade Telecom Professional Services, Embedded Systems, IoT. For more information, visit: www.smartcom.bg

About GF

GLOBALFOUNDRIES (GF) is the world’s leading specialty foundry. We deliver differentiated feature-rich solutions that enable our clients to develop innovative products for high-growth market segments. GF provides a broad range of platforms and features with a unique mix of design, development and fabrication services. With an at-scale manufacturing footprint spanning the U.S., Europe and Asia, GF has the flexibility and agility to meet the dynamic needs of clients across the globe. GF is owned by Mubadala Investment Company. For more information, visit globalfoundries.com.

Contact:

Erica McGill
GLOBALFOUNDRIES
(518) 795-5240
[email protected]

GF’s First Decade: Finding Their Center

By: Dave Lammers

The second of a three-part series looking back at GF’s first 10 years, and looking forward to the next decade and beyond.

GLOBALFOUNDRIES’ first decade was a ten-year period during which the foundry met a series of remarkable challenges, ranging from technology transitions that tested the mettle of its staff to decisions about how to differentiate GF from its competition.

How GF got from delivering just a few technology solutions for AMD in 2009 to where it is today – with a portfolio of RF-based solutions dominating the marketplace and a serious thrust underway in fully depleted SOI – is arguably the most interesting story in the semiconductor industry over the past decade.

The journey to build an at-scale global semiconductor manufacturer
Source: GF

I talked to Gregg Bartlett, now GF’s head of engineering and technology, who was recruited to join the brand-new company at the very beginning. Bartlett remembers 2009 as the year when he joined GLOBALFOUNDRIES and immediately was thrust into what was a demanding start for the new foundry, but it also was a time when management learned to assess how much risk it should take on technology and product transitions – a valuable lesson.

A Challenging Beginning

GF, spun out from AMD in early 2009 with financial backing from Abu Dhabi’s Mubadala investment fund, was immediately tasked with bringing up a new AMD product architecture, on a new 32nm high-k/metal gate process.  That combination proved to be very challenging to build in high volume production.

Bartlett recalled, AMD’s flagship new “APU” part –which pioneered the combination of a graphics processing engine and a CPU core on one die – required focused execution at GF’s flagship fab in Dresden, Germany. “It was quite a humbling time, a really difficult time – but we persevered,” Bartlett said.

Patrick Moorhead, now an industry analyst at Moor Insights & Strategy, was part of AMD’s management team a decade ago. “If you look at it from a historical perspective, Llano had one of the first large pieces of graphics IP that was integrated with the APU. At that time, no smart phone processor was delivering anything like it. But getting it going came in fits and starts, and there were super, super challenges in getting that part going.”

Bartlett said the main lesson GF management learned was to manage the amount of risk being taken. “Right out of the chute, GF was trying to be something that was going to be hard to do, introduce a revolutionary new silicon technology. We tried to change our chip architecture, while at the same time making technological innovations. I look at our company today, and can say we have learned a lot about working with customers to better manage technology innovation with customer design innovation.”

From Three to 300 Customers

Then in 2010 GF’s management, with backing from the leadership at the Mubadala fund, acquired Chartered Semiconductor. GF and the Dresden fab had produced just a few different parts for a small group of customers who needed the leading-edge technology offered in the fab, and Bartlett said GF’s management realized it needed to learn to deal with multiple customers with various process technologies.

“We asked ourselves then: How do you change a fab with three customers to one with 30 customers, and then 300 customers? How do you change your business processes? The answer was, of course: not easily. Chartered brought us skills and processes to deal with hundreds of customers all at once,” Bartlett said, praising the “customer orientation” that Chartered’s Singapore team brought into the GF culture.

GLOBALFOUNDRIES acquired the Singapore site from Chartered Semiconductor on January 13, 2010. Chartered Semiconductor originally opened the fab in 1995. It was one of the first 200mm fabs. Source: GF

“What Chartered had figured out was how to always have contingency plans for customers to ensure they hit their market introductions,” he said.

Bartlett gave credit to the leaders at the Mubadala investment fund, saying they were “willing to make the large investment in acquiring Chartered, knowing how transformative the acquisition was.” The acquisition gave GF several 200 and 300mm fabs in Singapore, and roughly 200 customers. The acquisition began a transformation of the new foundry, creating a process of dealing with many dozens of customers.

“This was an inorganic transformation that accelerated our ability to operate as a global foundry. Today, Singapore is a very successful part of our corporation and has proliferated processes and talent across our company,” Bartlett said.

A ‘Very Fortuitous” Cooperation

Building on lessons learned from Dresden’s work on the 32/28nm node, five years later the new Malta fab faced the challenge at the 14nm node, when FinFETs were first introduced.

This time, GF and AMD came through it smoothly, with Moorhead describing the happy endpoint: “When the first versions of (the AMD 14nm) Ryzen came out, as an industry analyst I had to ask myself, ‘What is not going to work?’ It is one thing to ship a few thousand samples, but shipping hundreds of thousands, and then millions, is a different thing. It was a super-impressive ramp, to say the least. And to do that while outperforming many of Intel’s products on cache cell size, on performance of the transistors, on power consumption and the amount of heat, was truly remarkable.”

GF’s groundbreaking ceremony to officially start construction of the Admin 2 office building as part of Fab 8 in Saratoga County, New York. Source: GF

Learning to Collaborate

To achieve that 14nm success, GF went through an honest self-appraisal. Bartlett, who by then was GF’s chief technology officer, recalls that at the time, members of the IBM technology alliance all had come up with different answers to the 14nm node. IBM was pursuing an SOI-based process that included its deep-trench embedded DRAM, while GF and Samsung went their separate ways toward bulk CMOS finFET 14nm processes.

While GF and Samsung were holding intermittent discussions about if and how to cooperate, they realized cooperation was a good way to manage supply risk for a very large customer in the mobile space. This brought GF and Samsung together to collaborate on deployment of a single technology between the two companies.

When it became apparent that there was a deal, that turned out to be very beneficial,” Bartlett said. By working with Samsung on 14nm, GF accelerated its transition to go into high-volume manufacturing. At the same time, GF was able to grow the technology development team in Malta that is now differentiating that platform for more applications and customers today.

A First Step Toward Differentiation with FD-SOI

Bartlett said that when GF was in the process of acquiring IBM Microelectronics, management concluded it needed a technology diversification strategy, something different than what its main competitor was doing at the 28nm node.

“FD-SOI was our first conscious choice to differentiate on a technology platform. Rather than go imitate the industry leader, we decided to drive a superior technology solution for market segments we believed needed FDSOI such as IoT and integrated RF,” Bartlett said.

GF’s 22FDX platform technology relies on planar transistors as well as fully-depleted SOI wafers
Source: GF

That ability to make tough decisions, to be flexible, was needed again last year, when GF’s new management team, led by Tom Caulfield, concluded that it needed to pivot away from 7nm to be more relevant to our customers. This pivot freed development resources, allowing more investment in the technology platforms that the majority of GF’s customer base was using, including 12nm FinFET, 22FDX®, RF, silicon photonics and other platforms.

Moorhead said he believes the 22FDX process has power advantages for edge processing that will play a major role as 5G RF and data processing converge. “The opportunity for GF is huge. 5G — and all of the associated circuitry that goes with it – will be fundamentally connected to the Internet of Things. That is going to define the next ten years. It gets us to hyper-connectivity,” Moorhead said.

I asked Bartlett if GF’s experiences over its first decade had created a battle-tested workforce, better able to meet future challenges.

“We’ve learned a lot from our first ten years of existence, understanding which decisions we got right, and which ones we got wrong and why. And when we got them wrong, to have gone through them together as one GF team means we are better able to deal with future challenges.” he said.

“Will we make mistakes going forward? Very likely, but not because we hadn’t considered the risks. When I look at our company today, we have learned a lot about how you support our customers, how you manage the risk you are taking, and most importantly about being relevant to the customers where their needs align with core capabilities. We know who we are, where our core competencies are and are excited for a future going on offense with those capabilities.”

In the final installment in this series, we will examine the future of GF and how its differentiated portfolio will enable its customers and change the industry that’s changing the world.

About Author

Dave Lammers

Dave Lammers

Dave Lammers is a contributing writer for Solid State Technology and a contributing blogger for GF’s Foundry Files. Dave started writing about the semiconductor industry while working at the Associated Press Tokyo bureau in the early 1980s, a time of rapid growth for the industry. He joined E.E. Times in 1985, covering Japan, Korea, and Taiwan for the next 14 years while based in Tokyo. In 1998 Dave, his wife Mieko, and their four children moved to Austin to set up a Texas bureau for E.E. Times. A graduate of the University of Notre Dame, Dave received a master’s in journalism at the University of Missouri School of Journalism.

 

CSEM joins GLOBALFOUNDRIES’ FDXcelerator™ Program bringing ultra-low-Power IP to 22FDX® process

CSEM, a leader in low-power RFIC design and embedded systems, announced today at GLOBALFOUNDRIES (GF) annual Global Technology Conference (GTC) that CSEM is developing ultra-low power Bluetooth Low Energy® (BLE), CMOS radar mmWave IP and embedded machine-learning accelerators on GLOBALFOUNDRIES (GF) 22nm FD-SOI (22FDX®) platform.

Evaluation Boards Now Available for Flex Logix EFLX® 4K eFPGA on GLOBALFOUNDRIES’ Most Advanced FinFET Platform

Flex Logix Technologies, Inc., the leading supplier of embedded FPGA (eFPGA) IP and software, today announced that it has received working first silicon of its validation chip for the EFLX 4K eFPGA IP cores running on GLOBALFOUNDRIES (GF) 12nm Leading-Performance (12LP) FinFET platform and newly announced 12LP+ solution. The chip is currently in characterization and Flex Logix will be demonstrating the evaluation board at the GLOBALFOUNDRIES Technology Conference (GTC 2019) on Tuesday, September 24 in Santa Clara California.

格芯推出适合云端和边缘人工智能应用的12LP+ FinFET解决方案

该创新解决方案基于格芯最先进的FinFET平台,具有一流的性能、能够满足不断变化的人工智能需求的多项全新重要特性、引人注目的经济效应和业界领先的Arm物理IP

加利福尼亚州圣克拉拉,2019年9月24日——作为先进的特殊工艺半导体代工厂,格芯(GlobalFoundries)今日在其全球技术大会上宣布推出12LP+,这是一种适合人工智能训练和推理应用的全新创新解决方案。12LP+为芯片设计者提供了性能、功耗和尺寸的一流组合,以及一系列新的重要特性、成熟的设计和产品生态系统、经济高效的开发和快速的上市时间,适合快速增长的云端和边缘人工智能应用。

格芯的新型12LP+基于格芯现有的12nm领先性能(12LP)平台,与基础12LP平台相比,性能提高20%或功耗降低了40%,而且逻辑区面积减少了15%。一个重要特性是具有高速、低功耗的0.5V SRAM位单元,用于支持处理器和存储器之间进行高速、节能的数据传输,对于计算和有线基础设施市场中的人工智能应用来说,这是一项重要要求。

12LP+还具有一些其他重要特性,使客户能够充分抓住人工智能市场上的机遇,其中包括面向人工智能应用的参考设计包以及设计/技术联合开发(DTCO)服务,这两者能够让客户全面地看待人工智能电路设计,从而实现低功耗并降低成本。另一个重要特性是包含用于2.5D封装的新中介层,这有助于实现高带宽存储器与处理器的集成,从而实现快速、节能的数据处理。 

12LP+解决方案采用Arm® Artisan® 物理IP和ARM针对人工智能应用为格芯开发的POP™IP。Arm提供的这两种解决方案也将应用于格芯的12LP平台。 

Arm物理设计部门总经理兼研究员Gus Yeung表示:“人工智能、汽车和高端消费电子移动等诸多快速增长的应用推动了市场对高性能SoC的迫切需求。凭借广泛采用的ARM Artisan物理IP和先进的处理器设计,格芯的12LP+可以帮助设计人员轻松、快速且经济高效地推出相关产品来把握这一需求从市场中获利。”

格芯数字技术解决方案副总裁Michael Mendicino表示:“格芯的战略是为客户提供差异化的解决方案,12LP+正是为此而推出的,与替代方案相比,该方案能够在不中断工作流程的情况下非常经济高效地拓展设计。例如,作为一种先进的12nm技术,我们的12LP+解决方案已经为客户提供了他们期望从7nm工艺中获得的大部分性能和功率优势,但他们的NRE(非重复性工程)成本平均只有一半左右,这大大节省了成本。此外,由于12nm节点技术使用时间更长,也更为成熟,因此客户能够快速地进行流片生产并充分利用对人工智能技术日益增长的需求。” 

12LP+ PDK现已上市,并且格芯已经在与多个客户展开合作。从格芯的纽约马耳他8号晶圆厂获悉,预计2020年下半年将流片,2021年将量产。

关于格芯

格芯是全球领先的特殊工艺半导体代工厂,提供差异化、功能丰富的解决方案,赋能我们的客户为高增长的市场领域开发创新产品。格芯拥有广泛的工艺平台及特性,并提供独特的融合设计、开发和生产为一体的服务。格芯拥有遍布美洲、亚洲和欧洲的规模生产足迹,以其灵活性与应变力满足全球客户的动态需求。格芯为阿布扎比穆巴达拉投资公司(Mubadala Investment Company)所有。欲了解更多信息,请访问 https://www.globalfoundries.com/cn。

 

GLOBALFOUNDRIES’ GlobalFoundries Technology Conference 2019 in Silicon Valley to Spotlight Specialized Solutions for Emerging Markets

A rich program of talks, panels and partner exhibits will provide 700+ attendees with insights and networking opportunities in 5G, artificial intelligence, autonomous vehicles and other emerging areas

Santa Clara, Calif., September 24, 2019 – At its annual Global Technology Conference (GTC) today, GLOBALFOUNDRIES (GF), the world’s leading specialty foundry, will feature its wide range of specialized application solutions for the industry’s fastest-growing market segments. More than 700 expected attendees will be able to gain insight into how GF is helping clients shape our world, from the solutions we create to enabling the products they deliver.

GF’s CEO Tom Caulfield will kick-off the event with a keynote address on, ‘The Future of Innovation,’ which will explore how there are – and always have been – many different paths toward true innovation. Dr. Aart de Geus, Chairman and co-CEO of Synopsys will then take the stage to share how technology is changing the way we live and work, and how strategic partnerships are critical to helping clients innovate from silicon to software.

The conference stage will feature GF executives who will share insights on how GF’s multiple technology platforms plus a host of specialized features and turnkey services from GF and its ecosystem partners lead to unique, innovative solutions. Speakers include:

  •  ‘High-Growth Markets Enabled by Specialized GF Solutions,’ by Dr. Bami Bastani, Sr. VP and GM of Mobile and Wireless Infrastructure SBU
  • ‘Si Technology Innovation in the Era of Specific Application Solutions,’ by Gregg Bartlett, Sr. VP of Global Engineering and Technology
  • ‘The Journey to a Differentiated Ecosystem,” by Mike Cadigan, Sr. VP of Customer Design Enablement

In the afternoon, talks and panel discussions with industry leaders including executives from Lightmatter, Marvell, NXP and VeriSilicon along with members of GF’s management and technical teams will detail the specific needs and opportunities in emerging market segments. In addition, these presentations  will showcase how GF’s platforms and application solutions are enabling three targeted market segment groups, automotive, industrial and multi-market (AIM); mobile and wireless infrastructure (MWI); and computing and wired infrastructure (CWI).

Attendees will experience a full day of tech that will feature more than 35 event sponsors and GF ecosystem partners, giving attendees the opportunity to learn about their offerings. Platinum sponsors for GTC 2019 are Analog Bits, Cadence Design Systems, Mentor and Synopsys. Gold sponsors are Arm, Dolphin Integration and Flex Logix™. 

About GTC 

GLOBALFOUNDRIES’ annual Global Technology Conference features keynotes from industry leaders and presentations from senior members of the GF management and technical teams, with a special emphasis on how the company achieves time-to-volume leadership by leveraging global collaboration with clients and partners. GTC 2019 began Tuesday, September 24 in the heart of California’s Silicon Valley, kicking off a series of GTC 2019 events at strategic international venues including Munich, Singapore and Taiwan. For more information on GTC 2019, visit: https://www.globalfoundries.com/

About GF

GLOBALFOUNDRIES (GF) is the world’s leading specialty foundry. We deliver differentiated feature-rich solutions that enable our clients to develop innovative products for high-growth market segments. GF provides a broad range of platforms and features with a unique mix of design, development and fabrication services. With an at-scale manufacturing footprint spanning the U.S., Europe and Asia, GF has the flexibility and agility to meet the dynamic needs of clients across the globe. GF is owned by Mubadala Investment Company. For more information, visit globalfoundries.com.

Contact:

Erica McGill
GLOBALFOUNDRIES
(518) 795-5240
[email protected]