22FDX®: Enabler for IoT?

There is no question that the Internet of Things (IoT) is expected to be the next big application for microelectronics. 50 billion devices to be connected by the year 2020 – this is the vision of the IoT development paradigm.

IoT systems are going to show a high diversity of function and shape. While some systems may be small, others might expand to become as large as today’s SoC systems for the mobile internet. The question is, how do we address IoT system components, which need to be fast but consume low static stand-by power when not in use?

GLOBALFOUNDRIES 22FDX technology offers an excellent fit to such system and cost requirements. The determining new feature of this 22nm fully depleted SOI (FD-SOI) technology is the thin silicon layer where the active transistor is formed. In traditional SOI technologies a conventional transistor is formed in an isolated well, which is typically left floating in digital circuits. FD-SOI transistors are much thinner, and no well is formed. In addition, device isolation is a lot simpler, which also reduces implant steps.

And, as engineers contemplate their next node, they need to keep in mind that the 22FDX flow provides FinFET-like performance with ultra-low power at a cost comparable to 28nm planar technologies. Below are some additional noteworthy data points on the technology:

  • 70% lower power compared to 28nm High K Metal Gate (HKMG)
  • 20% smaller die than 28nm bulk planar
  • Lower die cost than FinFETs

A Sandbox for Creative Designers

Software-controlled body-biasing, which provides a flexible trade-off for managing high performance and low power, offers an additional degree of freedom for system and circuit design with 22FDX. Real time power consumption trade-offs can be made at the device level — mostly by powering major functional blocks. This is one reason why industry analyst Dan G. Hutcheson believes GF’s 22FDX will be a “major revolution.”

22FDX: Enabler for IoT?

22FDX: Multiple Body-Bias and Vt Points on Same Die

Additionally, 22FDX offers capabilities for design flexibility and intelligent control that enable innovation that hasn’t been possible in the past. These capabilities include:

  • Post-silicon tuning enables lower power consumption while maintaining high circuit performance
  • Integrated RF includes tuning “knobs” to reduce RF power by up to 50 percent, making a separate RF chip unnecessary

The 22FDX technology design ecosystem is building fast. In November, all major EDA vendors, in particular Synopsys, Cadence and Mentor Graphics, announced they are offering tool suites adapted for 22FDX. They are a key part of an ecosystem that is expanding to support our customers’ success.

Design Migration to 22FDX™ from Bulk Node

Design Migration to 22FDX from Bulk Node

22FDX is a breakthrough technology with a robust future, extending Moore’s Law through delivering the die size reductions, power reductions, increases in performance and increased functionality and without more complex manufacturing processes.

Subramani Kengeri, Vice President, General Management, CMOS Platforms Business Unit at GF, will present on enabling next-generation innovation with 22FDX on Thursday, Jan. 21, 2016 at the FD-SOI Forum in Tokyo, Japan.