22FDX®: Befähiger für das IoT?

Es steht außer Frage, dass das Internet der Dinge (IoT) die nächste große Anwendung für die Mikroelektronik sein wird. Bis zum Jahr 2020 sollen 50 Milliarden Geräte miteinander verbunden sein - das ist die Vision des IoT-Entwicklungsparadigmas.

IoT-Systeme werden eine große Vielfalt an Funktionen und Formen aufweisen. Während einige Systeme klein sein mögen, könnten andere so groß werden wie die heutigen SoC-Systeme für das mobile Internet. Es stellt sich die Frage, wie wir mit IoT-Systemkomponenten umgehen, die schnell sein müssen, aber nur wenig statische Standby-Leistung verbrauchen, wenn sie nicht in Gebrauch sind.

GLOBALFOUNDRIES 22FDX-Technologie eignet sich hervorragend für solche System- und Kostenanforderungen. Das entscheidende neue Merkmal dieser 22nm Fully Depleted SOI (FD-SOI) Technologie ist die dünne Siliziumschicht, in der der aktive Transistor gebildet wird. Bei herkömmlichen SOI-Technologien wird ein konventioneller Transistor in einer isolierten Vertiefung gebildet, die in digitalen Schaltungen in der Regel frei bleibt. FD-SOI-Transistoren sind viel dünner, und es wird keine Wanne gebildet. Außerdem ist die Isolierung der Bauelemente viel einfacher, wodurch sich auch die Implantationsschritte verringern.

Wenn Ingenieure über ihren nächsten Knotenpunkt nachdenken, müssen sie bedenken, dass der 22FDX-Flow eine FinFET-ähnliche Leistung mit extrem niedrigem Stromverbrauch zu Kosten bietet, die mit 28-nm-Planartechnologien vergleichbar sind. Nachfolgend finden Sie einige weitere bemerkenswerte Daten zu dieser Technologie:

  • 70% weniger Stromverbrauch im Vergleich zu 28nm High K Metal Gate (HKMG)
  • 20% kleinerer Chip als 28nm Bulk Planar
  • Niedrigere Chipkosten als bei FinFETs

Ein Sandkasten für kreative Gestalter

Das softwaregesteuerte Body-Biasing, das einen flexiblen Kompromiss zwischen hoher Leistung und niedrigem Stromverbrauch ermöglicht, bietet einen zusätzlichen Freiheitsgrad für das System- und Schaltungsdesign mit 22FDX. Kompromisse beim Stromverbrauch können in Echtzeit auf der Bauelementeebene getroffen werden - vor allem bei der Stromversorgung der wichtigsten Funktionsblöcke. Dies ist einer der Gründe, warum der Branchenanalyst Dan G. Hutcheson glaubt, dass 22FDX von GF eine "große Revolution" darstellen wird.

22FDX: Wegbereiter für das IoT?

22FDX: Mehrere Body-Bias- und Vt-Punkte auf demselben Chip

Darüber hinaus bietet der 22FDX Funktionen für Designflexibilität und intelligente Steuerung, die Innovationen ermöglichen, die in der Vergangenheit nicht möglich waren. Diese Fähigkeiten umfassen:

  • Post-Silicon-Tuning ermöglicht geringeren Stromverbrauch bei gleichbleibend hoher Schaltkreisleistung
  • Integrierter RF enthält Abstimmknöpfe" zur Reduzierung der RF-Leistung um bis zu 50 Prozent, wodurch ein separater RF-Chip überflüssig wird

Das Design-Ökosystem für die 22FDX-Technologie entwickelt sich schnell. Im November kündigten alle großen EDA-Anbieter, insbesondere Synopsys, Cadence und Mentor Graphics, an, dass sie für 22FDX angepasste Tool-Suiten anbieten werden. Sie sind ein wichtiger Teil eines Ökosystems, das sich erweitert, um den Erfolg unserer Kunden zu unterstützen.

Entwurfsmigration zu 22FDX™ von Bulk Node

Entwurfsmigration zu 22FDX von Bulk Node

22FDX ist eine bahnbrechende und zukunftsträchtige Technologie, die das Mooresche Gesetz erweitert, indem sie eine Verringerung der Chipgröße, eine Verringerung des Stromverbrauchs, eine Steigerung der Leistung und eine Erhöhung der Funktionalität ermöglicht, und das ohne komplexere Herstellungsprozesse.

Subramani Kengeri, Vice President, General Management, CMOS Platforms Business Unit bei GF, wird am Donnerstag, 21. Januar 2016, auf dem FD-SOI Forum in Tokio, Japan, einen Vortrag über die nächste Generation von Innovationen mit 22FDX halten.