GLOBALFOUNDRIES Demonstrates 2.5D High-Bandwidth Memory Solution for Data Center, Networking, and Cloud Applications

Solution leverages 2.5D packaging with low-latency, high-bandwidth memory PHY built on FX-14™ ASIC design system

Santa Clara, Calif., August 9, 2017 – GLOBALFOUNDRIES today announced that it has demonstrated silicon functionality of a 2.5D packaging solution for its high-performance 14nm FinFET FX-14™ integrated design system for application-specific integrated circuits (ASICs).

The 2.5D ASIC solution includes a stitched interposer capability to overcome lithography limitations and a two terabits per second (2Tbps) multi-lane HBM2 PHY, developed in partnership with Rambus, Inc. Building on the 14nm FinFET demonstration, the solution will be integrated on the company’s next-generation FX-7™ ASIC design system built on GF’s 7nm FinFET process technology.

“With the tremendous advances in interconnect and packaging technology that has occurred in recent years, the line between wafer processing and packaging has blurred,” said Kevin O’Buckley, vice president of ASIC product development at GF. “Incorporating 2.5D packaging into ASIC design boosts performance beyond scaling and is a natural evolution of our capabilities. It enables us to support our customers in a one-stop end-to-end fashion, from product design all the way through manufacturing and testing.”

The Rambus memory PHY is aimed at high-end networking and data center applications performing the most data-intensive tasks in systems requiring low-latency and high-bandwidth. The PHY is compliant with the JEDEC JESD235 HBM2 standard, supporting data rates up to 2Gbps per data pin, enabling a total bandwidth of 2Tbps.

“We strive to deliver comprehensive HBM PHY technologies that will enable data center and networking solution providers to meet today’s most demanding workloads and take advantage of compelling market opportunities,” said Luc Seraphin, senior vice president and general manager, Memory and Interfaces Division at Rambus. “Our collaboration with GF combines our HBM2 PHY with their 2.5D packaging and FX-14 ASIC design system and provides a fully-integrated solution for the industry’s fastest-growing applications.”

FX-14 and FX-7 are complete ASIC design solutions that take advantage of GF’s experience in volume production with FinFET process technology. They comprise functional modules based on the industry’s broadest and deepest intellectual property (IP) portfolio, which makes possible unique solutions for next-generation wired/5G wireless networking, cloud/data center servers, machine learning/deep neural networks, automotive, and aerospace/defense applications. GF is one of only two companies in the world that delivers best-in-class IP plus advanced memory and packaging solutions.

About GF:

GLOBALFOUNDRIES is a leading full-service semiconductor foundry providing a unique combination of design, development, and fabrication services to some of the world’s most inspired technology companies. With a global manufacturing footprint spanning three continents, GLOBALFOUNDRIES makes possible the technologies and systems that transform industries and give customers the power to shape their markets. GLOBALFOUNDRIES is owned by Mubadala Development Company. For more information, visit https://www.globalfoundries.com.

Contact:

Erica McGill
GLOBALFOUNDRIES
(518) 795-4250
[email protected]

格芯携手Silicon Mobility,打造业内首款汽车FPCU, 进一步推进混合动力与电动力车辆性能

Silicon Mobility通过格芯的 55nm LPx平台配备 SST高可靠性 SuperFlash®内存技术增强了汽车性能、能源效率与安全等级

 

加州圣克拉拉,2017年8月3日 – 格芯与Silicon Mobility在今天宣布已成功制造出业内第一款汽车可编程场控制器单元 (FPCU)设计方案, 并将其命名为 OLEA® T222。FPCU 使用了格芯的55nm低功耗拓展 (55LPx) 技术平台, 此平台通过了汽车等级验证, 包括了硅存储技术 (SST) SuperFlash® 内存技术, 在单芯片内集成了多个功能, 增强了混合动力和电动力车的性能。

Silicon Mobility 的 OLEA® T222让汽车自动化处理能力更加强力,通过在控制处理器结构中嵌入灵活逻辑单元 (FLU), 带来了高达40倍的处理加速和更好的实时控制能力。通过FLU的加速能力, OLEA® T222 提高了节能控制的质量,劲儿提高了安全性,并且在超快安全应用上满足了ASIL-D级标准。此外,汽车制造商可以增强DC/DC 和AC/DC控制的能源效率, 提高电池使用范围, 耐用性和电动机的充电速度。

“电动机、功率转换器和电池充电器的效率是混合和电动力汽车控制系统的关键因素,” Silicon Mobility 运营部副部长Vincent Cruvellier说道。 “格芯的 55LPx 平台配备了快速和低功耗的逻辑和汽车1级认证,结合了SST的高可靠性 SuperFlash 内存技术,让我们可以在一块芯片上集成多种功能,打造出OLEA® T222 产品。格芯是全球性的专业汽车类市场代工厂,我们与格芯的合作使客户确保获得最高的产品质量、最高的可靠性和对于制造汽车类产品的支持。”

格芯的 55nm LPx可用于射频,经汽车等级验证的平台提供了通向生产的快速方案,其中包括了硅验证的射频IP以及SST的高度可靠SuperFlash内存,主要特点有:

·         超快读取速度(<10ns)

·         比特单元小尺寸

·         超强数据维持能力 (> 20 years)

·         超强耐久力 (> 200K cycles)

·         完全通过汽车1级认证 (AEC-Q100)

“我们的平台结合了 Silicon Mobility的设计,提供55nm的高度集成的汽车自动化设计, 推出了业内第一款FPCU,” 格芯嵌入式内存部副部长 David Eggleston说道, “这是格芯55LPx平台受到广大市场欢迎的又一例证,特别是对于汽车应用这种对可靠性要求极高的市场。”

 

格芯的55LPx eFlash 平台正在新加坡300mm产品线进行批量生产。无论是对于穿戴式设备还是汽车的微控制器单元,55LPx eFlash平台是大量产品的最高成本效率方案。

设计工具包现已可用。用户已可使用该工具包对芯片设计进行优化,开发独特的SuperFlash方案,满足成本性能要求,低功耗和极限环境中的高可靠性。

了解更多关于格芯主流CMOS设计方案的信息,请与格芯销售代表联系或登录网址www.globalfoundries.com

 

关于Silicon Mobility:

Silicon Mobility是更环保、更安全更智能移动应用的技术领先者。该公司设计开发并销售灵活、实时、安全而开房的半导体方案,供应追求能源效率、减少污染排放、保证乘客安全的汽车行业。

 

Silicon Mobility的产品控制电动机、电池和能源管理系统,应用于混合动力和电动力车辆。使用Silicon Mobility 的技术,制造商可以提高效率,减小尺寸、重量和电动机的成本,增加电池的使用范围和耐用性。Silicon Mobility的技术和产品加速了汽车动力系统的电子化,也推动了OEM无人车的开发。Silicon Mobility总部位于法国索菲亚科技园。了解更多详情请登录www.silicon-mobility.com

 

关于格芯

格芯是提供设计开发和制造间独特组合的领先全方面服务代工厂,为全世界的先进技术公司提供服务。格芯铸造厂遍布全球三大洲,提供了改造行业的能力,为客户带来重塑市场的能力。格芯隶属Mubadala发展公司。了解更多详情,请登录网址https://www.globalfoundries.com

 

联系方式:

Erica McGill

格芯

(518) 795-5240

[email protected]

David Fresneau

Silicon Mobility

+33 (0)487 791 020

[email protected]

 

 

GLOBALFOUNDRIES, Silicon Mobility Deliver the Industry’s First Automotive FPCU to Boost Performance for Hybrid and Electric Vehicles

Silicon Mobility and GF’s 55nm LPx -enabled platform, with SST’s highly-reliable SuperFlash® memory technology, boosts automotive performance, energy efficiency, and safety levels

Santa Clara, Calif., August 3, 2017 – GLOBALFOUNDRIES and Silicon Mobility today announced they have successfully produced the industry’s first automotive Field Programmable Controller Unit (FPCU) solution, called OLEA® T222. The FPCU solution uses GF’s 55nm Low Power Extended (55LPx) automotive qualified technology platform, which includes Silicon Storage Technology’s (SST) SuperFlash® memory technology, to integrate multiple functions onto a single chip, boosting performance for hybrid and electric vehicles.

Silicon Mobility’s OLEA® T222 allows automotive processing to be fully deterministic through embedding a Flexible Logic Unit (FLU), with up-to 40 times acceleration, into the control processor architecture to accelerate the processing and control of real-time events. With FLU acceleration, OLEA® T222 increases the quality of energy conversion controls to increase safety and achieve ASIL-D for ultra-fast safety applications. Moreover, automotive manufacturers can enhance energy efficiency of DC/DC and AC/DC controls as well as increase battery range, durability, and charging speed for electric motors.

“Efficiency of electric motors, power converters, and battery chargers are key factors for hybrid and electric vehicle control systems,” said Vincent Cruvellier, vice president of operation at Silicon Mobility. “GF’s 55LPx platform, with its fast, low-power logic and Automotive Grade 1 qualification, combined with SST’s highly-reliable SuperFlash memory technology, allowed us to integrate multiple functions into a single chip, creating the OLEA® T222 product. Our collaboration with GF, a global foundry committed to the automotive market, helps ensure our customers have the highest quality, reliability and support for the manufacturing of our automotive products.”

GF’s 55nm LPx RF-enabled, automotive-qualified platform provides a fast path-to-product solution that includes silicon qualified RF IP, SST’s highly-reliable SuperFlash memory technology that features:

  • Very fast read speed (<10ns)
  • Small bitcell size
  • Superior data retention (> 20 years)
  • Superior endurance (> 200K cycles)
  • Fully qualification for Auto Grade 1 operation (AEC-Q100)

“Our platform combined with Silicon Mobility’s design has delivered a highly integrated automotive solution at 55nm, achieving the first FPCU in the industry,” said David Eggleston, vice president of embedded memory at GF. “This is yet another example that GF’s 55LPx platform is becoming the preferred choice for a broad spectrum of markets, including automotive applications that require superior reliability in extreme environments.”

GF’s 55LPx eFlash platform is in volume production at the foundry’s 300mm line in Singapore. The 55LPx eFlash platform is a cost effective solution for a broad range of products, ranging from wearable devices to automotive MCU’s.

Process design kits are available now. Customers can start optimizing their chip designs to develop differentiated SuperFlash-enabled solutions that require cost effective performance, low power consumption, and superior reliability in extreme environments.

For more information on GF’s mainstream CMOS solutions, contact your GF sales representative or go to www.globalfoundries.com.

About Silicon Mobility:

Silicon Mobility is a technology leader for cleaner, safer and smarter mobility. The company designs, develops and sells flexible, real-time, safe and open semiconductor solutions for the automotive industry used to increase energy efficiency and reduce pollutant emissions while keeping passengers safe.

Silicon Mobility’s products control electric motors, battery and energy management systems of hybrid and electric vehicles. By using Silicon Mobility’s technologies, manufacturers improve the efficiency, reduce the size, weight and cost of electric motors and increase the battery range and durability. Silicon Mobility technologies and products accelerate the car’s powertrain electrification and the deployment of driverless vehicles for OEMs. Silicon Mobility is headquartered in Sophia-Antipolis, France. For more information, visit: www.silicon-mobility.com

About GF

GF is a leading full-service semiconductor foundry providing a unique combination of design, development, and fabrication services to some of the world’s most inspired technology companies. With a global manufacturing footprint spanning three continents, GF makes possible the technologies and systems that transform industries and give customers the power to shape their markets. GF is owned by Mubadala Development Company. For more information, visit https://www.globalfoundries.com.

Contact:

Erica McGill
GLOBALFOUNDRIES
(518) 795-5240
[email protected]

David Fresneau
Silicon Mobility
+33 (0)487 791 020
[email protected]

Semiconductors On the Cusp of a Golden Era

By: Gary Dagastine

Since the invention of the transistor, breathtaking advances in semiconductor technology have driven the evolution of computing and communications along a path from centralized mainframes and minicomputers, to networked PCs, to sophisticated mobile devices that can connect to networks at any time from anywhere.

Yet as impressive as these achievements have been, they represent only the beginning of the contributions semiconductors will make to society. Their real impact is yet to come because while there are already billions of internet-connected devices in the world, a much greater number will be connected globally in the next few years for applications such as autonomous vehicles, the Internet of Things (IoT) and many others.

They will require an extensive infrastructure to connect, transmit, process, act upon and store all of the resulting data. Building an infrastructure that can enable such “connected intelligence” is a huge ongoing task that will require so many semiconductors relying on so many technologies, that it’s fair to say a golden era is dawning for the industry.

That was the perspective given recently by GLOBALFOUNDRIES CEO Sanjay Jha and Sr. Vice President and General Manager of Fab 8 Tom Caulfield, in keynote talks at the Mobile World Congress Shanghai and SEMICON West trade shows, respectively.

Their talks were focused on describing this new era of connected intelligence, how it is changing the requirements and conditions for success in the foundry segment, and how GF is making it possible.

Jha spoke about how the explosion of data is leading to a  connected intelligence inter-relationship among data centers, networks and client devices (i.e., smartphones, IoT devices, etc.). He described how GF is in a leadership position to enable it, both with regard to GF’s suite of leading-edge technologies and in terms of the company’s business strategies, such as the building of a new 300mm fab in Chengdu, China for 22FDX®-based products.

“The last 10 years in this industry have probably been the most transformative in our lives,” he said. “One example is that our phones have become an extension of our minds. Another, from a social perspective, is that Facebook now has almost 2 billion monthly users. Considering that China has about 1.4 billion people, the Facebook community is now larger than any other.”

At MWC Shanghai, CEO Sanjay Jha was a keynote speaker and part of a panel speaking on the topic of “Industry & The Human Element”

“I believe the next 10 years is going to be a golden era for the foundry business,” he said. “Industry estimates suggest that by 2025 we will be using 163 zettabytes of data (one zettabyte = 250 billion DVDs). We are collecting, transporting and analyzing all of this information – both at the edge with client devices for real-time decision-making and in the data center for longer-term insight gathering. Semiconductors are the enabling technology.”

Jha believes this transformation is changing the conditions for success in the semiconductor industry – both in terms of technologies and customer engagement models.

Regarding technologies, he described how GF’s dual roadmap of FDX™ technology for battery-powered devices and FinFET technology for high-performance processing in data centers and high-end computing devices is unique, and allows the company to match the right technology with the right application.

When coupled with the company’s legacy of leadership in RF, its new silicon photonics technologies for connectivity, and differentiated ASIC and analog/power technologies, GF is in a unique position to drive progress across the full breadth of new applications in the years ahead.

Regarding engagement models, Jha used China as an example, saying that, “The country is moving from a ‘Made in China’ stage of industry to an ‘Innovated in China’ position, and our Chengdu fab is a strategic, long-term joint venture partnership with the Chengdu government that is conceived in that light. It will be central to our IoT and 5G technologies, and when complete it will be the largest fab in China, with a building half a kilometer long.” Click here to view Sanjay Jha’s presentation.

At SEMICON West, Caulfield said that ever since Gordon Moore’s famous observations known as Moore’s Law were made some 50 years ago, the industry has been putting the pieces into place for what comes next, in effect. “We’ve made products that are smart, and that’s great, but now we’re going to take ‘smart’ and do something special with it. We are moving beyond an internet of smart things, to a framework of ‘connected intelligence’ whose operation and capabilities in many ways mimic the way the mind works.”

Tom Caulfield, SVP & GM of Fab 8, was a keynote speaker and a part of the opening ceremony for SEMICON West 2017

Caulfield noted that the engine powering this move is semiconductor innovation but that in order to keep achieving the technological progress predicted by Moore’s Law, the industry must operate differently because things have become so complex and inter-twined. Scaling is still critical, but scaling alone is no longer an effective strategy.

“After 50 years the game is still ahead of us. We must redefine innovation, collaborate differently and shift engagement behaviors in order to drive needed innovation in data analysis, bandwidth, storage density and power management,” he said. He pointed to GF’s dual-technology roadmap as an example of how innovation is being redefined, with FinFETs representing one path forward for high-performance computing and FDX representing another path forward for wireless, battery-powered devices.

With regard to collaborating differently, Caulfield noted that as the world has developed and the industry has grown and become more complex, old ways of doing business are no longer adequate. He said that a strategy of collaboration today needs to be built on three elements: strategic partnerships with key suppliers; “coopetition” with industry rivals, meaning to cooperate with them in some areas and compete with them in others; and public-private partnerships.

He used the Albany Nanotech research facility as an example of the benefits of coopetition, saying, ”For the industry, it offsets our collective expense to develop technology at the leading edge and lets us build scale in key technologies on a virtual basis.”

With regard to engagement behaviors, he said, “The industry is now so complex that we’ve reached the point where when you look at a project team it’s difficult to know who is the vendor and who is the customer.  Sure, everyone has a boss, but they are really dedicated to the project.”

That’s just one example of how engagement behavior needs to evolve, Caulfield said. “Sharing ideas across global teams, working in an interdisciplinary fashion and encouraging a diversity of ideas are absolutely vital to technical innovation in today’s world,” he said. Click here to view Tom Caulfield’s presentation.

About Author

Gary Dagastine

Gary Dagastine

Gary Dagastine is a writer who has covered the semiconductor industry for EE Times, Electronics Weekly and many specialized media outlets. He is a contributing editor at Nanochip Fab Solutions magazine and also is the Director of Media Relations for the IEEE International Electron Devices Meeting (IEDM), the world’s most influential technology conference for semiconductors. He started in the industry at General Electric Co. where he provided communications support to GE’s power, analog and custom IC businesses. Gary is a graduate of Union College in Schenectady, New York,

 

格芯与芯原联袂实现适合次世代物联网的单芯片解决方案

采用格芯的22FDX® 技术的集成解决方案将减少NB-IoT及LTE-M应用的功耗、面积及成本

美国加利福尼亚圣克拉拉,及中国上海(2017年7月13日)——今日,格芯(GLOBALFOUNDRIES,原名格罗方德)与芯原微电子(VeriSilicon)共同宣布,将携手为下一代低功耗广域网(LPWA)推出业界首款单芯片物联网解决方案。双方计划采用格芯的22FDX® FD-SOI 技术开发可支持完整蜂巢式调制解调器模块的单芯片专利,包括集成基带、电源管理、射频以及结合窄带物联网(NB-IoT)与LTE-M 功能的前端模块。相较于现有产品,该全新方案可望大幅改善功耗、面积及成本。

随着智慧城市、家居与工业应用中互联设备的数量日益增加,网络供应商也着手开发全新的通讯协议,以期更加符合新兴物联网标准的需求。LPWA 技术利用现有的LTE频谱及移动通信基础设施,但更着重于为例如联网水表和煤气表等传输少量低频数据的设备提供超低功耗、扩大传输范围以及降低数据传输率。

两大领先的LPWA连接标准包括在美国前景看好的LTE-M,以及逐渐在欧洲、亚洲取得一席之地的NB-IoT。举例而言,中国政府已将NB-IoT定为明年全国部署的对象。根据美国市场研究公司 ABI Research 的研究,该两大技术的结合将推动蜂窝M2M模块的出货量到2021年可能逼近5亿。

格芯与芯原微电子目前已着手开发IP套件,以双模运营商等级的基调制解调器带搭配集成的射频前端模组,旨在让客户开发出成本及功耗优化的单芯片解决方案,以供全球部署。该款设计将采用格芯的22FDX工艺,运用22nm FD-SOI技术平台为物联网应用提供成本优化的微缩能力并降低功耗。22FDX是唯一能够以单芯片高效整合射频、收发器、基带、处理器和电源管理元件的技术。相较于现有的40nm技术,这款集成方案预计在功耗和裸片尺寸方面,将达到80%以上的提升。

格芯产品管理高级副总裁Alain Mutricy表示:“低功耗、电池供电的物联网设备正处于爆发性增长态势,22FDX技术完美契合了其需求。对中国市场带来的机会,我们尤为兴奋。中国正以领先姿态在全国范围大力发展物联网与智慧城市。芯原微电子是我们重要的合作伙伴,他们协助我们在成都建设以全新300mm晶圆厂为中心的FD-SOI生态系统。此次新的合作计划也将进一步深化我们的长期合作关系。”

芯原股份有限公司创始人、董事长兼总裁戴伟民表示:“自五年前开始,作为芯片平台即服务 (SiPaaS) 的设计代工公司,芯原即开始开发 FD-SOIIP,并基于FD-SOI 技术一次流片成功了多款芯片产品。就物联网应用而言,除了成本优势之外,集成式射频、体偏压以及嵌入式内存如MRAM,都是28mm CMOS 工艺节点往后,FD-SOI 技术所具备的重要优势。在格芯22FDX上集成射频与功率放大器后,基带和协议栈可在高能效且可编程的ZSPnano 上得以实现,ZSPnano 专为控制和具有低延迟的数据流、单周期指令的信号处理而优化。格芯位于成都的全新FDX 300 mm 晶圆厂,以及此次合作推出的集成式 NB-IoT、LTE-M单芯片解决方案等 IP 平台,都将对中国的物联网和 AIoT(物联人工智能) 产业带来重大的影响。”

格芯与芯原微电子预计将于2017年第四季度对基于此集成方案的测试芯片进行流片,并完成验证。双方计划于2018年年中获得运营商许可。

关于格芯

格芯是全球领先的全方位服务半导体代工厂,为世界上最富有灵感的科技公司提供独一无二的设计、开发和制造服务。伴随着全球生产基地横跨三大洲的发展步伐,格芯促生了改变行业的技术和系统出现,并赋予了客户塑造市场的力量。格芯由阿布扎比穆巴达拉发展公司(Mubadala Development Company)所有。欲了解更多信息,请访问 https://www.globalfoundries.com/cn

关于芯原微电子

芯原股份有限公司(芯原)是一家芯片设计平台即服务(Silicon Platform as a Service,SiPaaS®)提供商,为包含移动互联设备、数据中心、物联网(IoT)、汽车、工业和医疗设备在内的广泛终端市场提供全面的系统级芯片(SoC)和系统级封装(SiP)解决方案。芯原的机器学习和人工智能技术已经全面布局智慧设备的未来发展。基于SiPaaS服务理念,芯原助力客户在设计和研发阶段领先一步,从而专注于差异化等核心竞争优势。芯原一站式端到端的解决方案则能够在短时间内打造出从定义到测试封装完成的半导体产品。宽泛灵活的SiPaaS解决方案为包含新兴和成熟半导体厂商、原始设备制造商(OEMs)、原始设计制造商 (ODMs),以及大型互联网和云平台提供商在内的各种客户提供高效经济的半导体产品替代解决方案。芯原的从摄像头输入到显示/视频输出的像素处理平台由高保真 ISP,支持机器学习加速的嵌入式视觉图像处理器(VIP),Vivante®低功耗GPU和高性能GPGPU,Hantro™ 极清视频编解码器,以及支持多种接口标准的显示控制器组成,以上产品可无缝协同工作以提供最优的PPA(性能、功耗和面积)。此外,基于芯原ZSP®(数字信号处理器核)技术的高清音频/语音平台和支持低功耗蓝牙(BLE)、Wi-Fi、NB-IoT和5G技术的多频多模无线基带平台为极低功耗和极高性能应用提供了可伸缩的架构。芯原增值的混合信号IP组合则可打造支持语音、手势和触摸界面的高能效自然用户界面(NUI)平台。芯原成立于2001年,总部位于中国上海,目前在全球已有超过600名员工。芯原在全球共设有5个设计研发中心和9个销售和客户支持办事处。

媒体垂询:

杨颖(Jessie Yang)

(021) 8029 6826

[email protected]

石燕 (Sherry Shi)

86 15900477699

[email protected]

邢芳洁(Jay Xing)

86 18801624170

[email protected]

GLOBALFOUNDRIES and VeriSilicon To Enable Single-Chip Solution for Next-Gen IoT Networks

Integrated solution leverages GF’s 22FDX® technology to decrease power, area, and cost for NB-IoT and LTE-M applications

Santa Clara, Calif. and Shanghai, China, July 13, 2017–GLOBALFOUNDRIES and VeriSilicon today announced a collaboration to deliver the industry’s first single-chip IoT solution for next-generation Low Power Wide Area (LPWA) networks. Leveraging GF’s 22FDX® FD-SOI technology, the companies plan to develop intellectual property that could enable a complete cellular modem module on a single chip, including integrated baseband, power management, RF radio and front-end module combining both Narrowband IoT (NB-IoT) and LTE-M capabilities. The new approach is expected to deliver significant improvements in power, area, and cost compared to current offerings.

With the proliferation of connected devices for smart cities, homes, and industrial applications, network providers are developing new communications protocols that better meet the needs of emerging IoT standards. LPWA technology takes advantage of the existing LTE spectrum and mobile infrastructure, but focuses on delivering ultra-low power, extended range, and much lower data rates for devices that transmit small amounts of infrequent data, such as connected water and gas meters.

The two leading LPWA connectivity standards are LTE-M, which is expected to get traction in the U.S. market, and NB-IoT, which is gaining ground in Europe and Asia. For example, the Chinese government has targeted NB-IoT for nationwide deployment over the coming year. The combination of these two technologies is expected to push cellular M2M module shipments to nearly half a billion by 2021, according to ABI Research.

GF and VeriSilicon are developing a suite of IP to enable customers to create single chip cost- and power-optimized solutions for worldwide deployment, based on a dual-mode carrier-grade baseband modem with integrated RF front-end module. The design will be fabricated using GF’s 22FDX process, which leverages a 22nm FD-SOI technology platform to provide cost-effective scaling and power reduction for IoT applications. 22FDX is the only technology that allows efficient single-chip integration of RF, transceiver, baseband, processor, and power management components. This integration is expected to deliver more than an 80 percent improvement in both power and die size compared to today’s 40nm technologies.

“Our 22FDX technology is perfectly positioned to support the explosive growth of low-power, battery-operated IoT devices,” said Alain Mutricy, senior vice president of product management at GF. “We are especially excited about the opportunities presented by the China market, which is leading the way with a nationwide commitment to IoT and smart cities. This new initiative expands on our long standing relationship with VeriSilicon—an important partner helping us build an FD-SOI ecosystem around our new 300mm fab in Chengdu.”

“Started from more than five years ago, as a Silicon Platform as a Service (SiPaaS) company, VeriSilicon has developed FD-SOI IPs and achieved first silicon success of many chips based on FD-SOI technologies. For IoT applications, besides cost advantages, integrated RF, body bias, and embedded memory, such as MRAM, are the key benefits of FD-SOI technologies beyond 28 nm bulk CMOS.” said Wayne Dai, VeriSilicon Chairman, President and CEO. “Integrated with RF and PA on GF 22FDX, the baseband and protocol stack are being implemented on our energy efficient and programmable ZSPnano that is optimized for control and data flow with powerful low latency, single cycle instructions for signal processing. GF’s new 300 mm fab for FDX in Chengdu and IP platforms such as this single chip solution for integrated NB-IoT and LTE-M, will have significant impact on China IoT and AIoT (AI of Things) industries.”

GF and VeriSilicon expect to tape out a test chip based on the integrated solution, with silicon validation in Q4 2017. The companies plan to pursue carrier certification in mid-2018.

About GF:

GLOBALFOUNDRIES is a leading full-service semiconductor foundry providing a unique combination of design, development, and fabrication services to some of the world’s most inspired technology companies. With a global manufacturing footprint spanning three continents, GLOBALFOUNDRIES makes possible the technologies and systems that transform industries and give customers the power to shape their markets. GLOBALFOUNDRIES is owned by Mubadala Development Company. For more information, visit https://www.globalfoundries.com.

About VeriSilicon

VeriSilicon Holdings Co., Ltd. (VeriSilicon) is a Silicon Platform as a Service (SiPaaS®) company that provides comprehensive System on a Chip (SoC) and System in a Package (SiP) solutions for a wide range of end markets including mobile internet devices, datacenters, the Internet of Things (IoT), automotive, industrial, and medical electronics. Our machine learning and artificial intelligence technologies are well positioned to address the movement to “intelligent” devices. SiPaaS provides our customers a substantial head start in the semiconductor design and development process and allows the customers to focus efforts on core competency with differentiating features. Our end-to-end semiconductor turnkey services can take a design from concept to a completed, tested and packaged semiconductor chip in record time. The breadth and flexibility of our SiPaaS solutions make them performance effective and cost efficient alternatives for a variety of customer types, including both emerging and established semiconductor companies, Original Equipment Manufacturers (OEMs), Original Design Manufacturers (ODMs), and large internet/cloud platform companies.

VeriSilicon’s camera-in, display/video out pixel processing platform includes high-fidelity ISP, embedded Vision Image Processor (VIP) with machine learning acceleration, Vivante® low power GPU and high performance GPGPU, Hantro® ultra high definition video codec, and rich featured display controller, which work seamlessly together to deliver best PPA (Performance, Power, Area). In addition, based on our ZSP® (digital signal processor) technologies, HD audio/voice platforms and multi-band/multi-mode wireless baseband platforms including BLE, Wi-Fi, NB-IoT, and 5G provide scalable architectures for both ultra-low power and extremely high performance applications. Our value-added mixed signal IP portfolio enables energy efficient Natural User Interface (NUI) platforms for voice, gesture and touch.

Founded in 2001 and head-quartered in Shanghai, China, VeriSilicon has over 600 employees with five R&D centers and nine sales offices worldwide. 

Contacts:

Jason Gorss
GF
(518) 698-7765
[email protected]

Miya Kong
VeriSilicon
+86 21 51311118
[email protected]

 

22FDX 技术获得主流接受和热烈欢迎

作者: Gary Dagastine

世界首创2Xnm用于GP-MCU的嵌入式MRAM, 以及5G应用毫米波能力的简介,引起了强烈关注

22FDX® 技术在近来的两大主流国际论坛的亮相引起了波澜,昭示着在如今快速增长的半导体市场,消费者们又出现了新的选择和平台。

在位于日本京都举办的VLSI技术座谈会上, 约500人出席了格芯员工Danny Shum的演讲,他描述了22FDX制造是如何在嵌入式STT-MRAM非易失性内存 (eMRAM)上取得突破进展的。实际上出席的听众在他的演讲结束后对他不断提出了深刻的问题,在耗尽了5分钟问答环节的所有时间后,听众们仍持续发问,占用了下一环节前20分钟的所有休息时间。这些问题中有的包含了关于具体技术的细节,如材料堆叠、制程技术、测试结果及测试工具;问题同样包含了更广大的领域,例如产品规划路线、商业策略、合作商机和PDK的可用性。

观众所体现出的强烈兴趣来自于eMRAM技术极有可能在代码存储以及工作内存上替代eFLASH 非易失性内存,满足需求量极大的一般用途微控制器和物联网设备。格芯以及合作伙伴 Everspin技术, 展现了在高可靠性要求的严苛环境,例如汽车SoC等应用中,eMRAM的能力。

完整的VLSI文章, CMOS嵌入式 STT-MRAM阵列用于 2x 纳米节点GPMCU应用 , 现已可在格芯官网下载。

同时, 在夏威夷檀香山举办的 IEEE国际微波论坛中, 格芯高级员工以及射频首席技术工程师 David Harame概括了部分及全面耗尽式绝缘体上硅(SOI)在射频毫米波应用以及即将到来的5G设备上可带来的收益,SOI是22FDX的基础。 他的演讲是22FDX专题讨论会的其中一个环节,本讨论会由他及同事Ned Cahoon和Baljit Chandhoke、 Anirban Bandyopadhyay组织,重点在于讨论硅技术,特别是22FDX技术现在对于毫米波应用开发的成熟程度,与更局限更高成本的旧技术比较所拥有的优势。

总体上,演讲阐述了22FDX的技术多样性,满足持续增长的汽车、移动、射频链接、物联网、网络和其他应用市场。

嵌入式STT-MRAM

现在越来越多的应用需要包含了非易失性内存(eNVM)的芯片,可是当设备尺寸缩小,低功耗操作愈发重要,传统eFlash NVM所面对的挑战越来越多。这都是因为eFlahs的高电压要求以及在调整渠氧化层这一个关键参数时必须做出性能和可靠性的折中。

市面上也存在eNVM的替代技术,可是由于eMRAM长久以来被认为拥有潜力提供升级性、写入速度、数据维持、长期可靠性和低功耗操作的最佳平衡,至此并没有过STT-MRAM嵌入式内存正式发布的消息。

直到,Shum在VLSI大会上首次发布了STT-MRAM的消息。他描述了格芯与Everspin技术是如何在2x-nm设计法则上联手打造并展示了世界第一款40Mb CMOS 阵列配备集成eMRAM的。

一大关键要素是STT-MRAM可以承受高达260ºC的高温长达5分钟,意味着普通的封装和集成回流步骤并不会影响储存的内容,而且代码储存可在晶元探针测试途中写入。此外,格芯的22FDX eMRAM根据设计可在150°C环境下维持数据长达10年, 让此项技术可被用于汽车SoC。

另外的技术特点包括,内存在线后端进行生产,敏感的逻辑设备和电路因此可以避免在高温的线前端处理流程中遭到损害。这个特点同样让复用型IP得以实现,因为它们使用的是同样的PDK。内存阵列同样脱离了芯片核心的电压能源供应(Vdd 和I/O), 无需电流泵对电压进行调整。

格芯将在明年提供eMRAM技术,并将其作为总体22FDX技术组合中的一部分,而客户产品原型的多晶元项目将在今年年底开始。

22FDX 硅应用于毫米波

在微波论坛活动中, Harame描述了大批量毫米波频率硅基芯片的市场是如何因为5G无线规格而蓬勃发展的。(详情请浏览, 行政视角:一切都将无线,射频芯片将其实现)

Harame阐述了拥有低晶体管泄漏电压的部分或全耗尽式SOI技术是如何成为此类应用的最高成本效率的。

他已经提到,由于移动蜂窝网络和WiFi交换器都广泛使用了SOI技术,此技术的经验基础非常深厚。其中一个例子是45纳米PDSOI,此技术已被投入到多个毫米波相位阵列系统应用中。 45纳米PDSOI拥有堆叠晶体管的能力,增加了供能调整能力,哪怕是对于功率放大器和低电压CMOS设备也同样适用。

他的演讲重点在于FDX是如何通过它的高k电介质系数栅极金属堆叠(high-k/MG)来进一步增进它的性能的,high-k/MG, 22纳米栅极长度和薄硅通道,这些技术特点共同打造了适用于即将来到的5G毫米波应用的最佳技术。

关于作者

Gary Dagastine
Gary Dagastine是一位职业撰稿人,主要为EE Times、Electronics Weekly和许多专业媒体撰写关于半导体行业的文章。他是NanocEEhip Fab Solutions杂志的特约编辑,也是IEEE国际电子器件大会(IEDM)(全球最具影响力的半导体技术大会)的媒体关系主管。加入General Electric Co.之后,他开始涉足半导体行业,在该公司工作期间,他负责为GE功率、模拟和定制IC业务提供沟通支持。Gary毕业于纽约斯克内克塔迪联合大学。

 

22FDX 技术获得主流接受和热烈欢迎

世界首创2Xnm用于GP-MCU的嵌入式MRAM, 以及5G应用毫米波能力的简介,引起了强烈关注

22FDX® 技术在近来的两大主流国际论坛的亮相引起了波澜,昭示着在如今快速增长的半导体市场,消费者们又出现了新的选择和平台。

在位于日本京都举办的VLSI技术座谈会上, 约500人出席了格芯员工Danny Shum的演讲,他描述了22FDX制造是如何在嵌入式STT-MRAM非易失性内存 (eMRAM)上取得突破进展的。实际上出席的听众在他的演讲结束后对他不断提出了深刻的问题,在耗尽了5分钟问答环节的所有时间后,听众们仍持续发问,占用了下一环节前20分钟的所有休息时间。这些问题中有的包含了关于具体技术的细节,如材料堆叠、制程技术、测试结果及测试工具;问题同样包含了更广大的领域,例如产品规划路线、商业策略、合作商机和PDK的可用性。

观众所体现出的强烈兴趣来自于eMRAM技术极有可能在代码存储以及工作内存上替代eFLASH 非易失性内存,满足需求量极大的一般用途微控制器和物联网设备。格芯以及合作伙伴 Everspin技术, 展现了在高可靠性要求的严苛环境,例如汽车SoC等应用中,eMRAM的能力。

完整的VLSI文章, CMOS嵌入式 STT-MRAM阵列用于 2x 纳米节点GPMCU应用 , 现已可在格芯官网下载。

同时, 在夏威夷檀香山举办的 IEEE国际微波论坛中, 格芯高级员工以及射频首席技术工程师 David Harame概括了部分及全面耗尽式绝缘体上硅(SOI)在射频毫米波应用以及即将到来的5G设备上可带来的收益,SOI是22FDX的基础。 他的演讲是22FDX专题讨论会的其中一个环节,本讨论会由他及同事Ned Cahoon和Baljit Chandhoke、 Anirban Bandyopadhyay组织,重点在于讨论硅技术,特别是22FDX技术现在对于毫米波应用开发的成熟程度,与更局限更高成本的旧技术比较所拥有的优势。 

总体上,演讲阐述了22FDX的技术多样性,满足持续增长的汽车、移动、射频链接、物联网、网络和其他应用市场。

嵌入式STT-MRAM

现在越来越多的应用需要包含了非易失性内存(eNVM)的芯片,可是当设备尺寸缩小,低功耗操作愈发重要,传统eFlash NVM所面对的挑战越来越多。这都是因为eFlahs的高电压要求以及在调整渠氧化层这一个关键参数时必须做出性能和可靠性的折中。

市面上也存在eNVM的替代技术,可是由于eMRAM长久以来被认为拥有潜力提供升级性、写入速度、数据维持、长期可靠性和低功耗操作的最佳平衡,至此并没有过STT-MRAM嵌入式内存正式发布的消息。 

直到,Shum在VLSI大会上首次发布了STT-MRAM的消息。他描述了格芯与Everspin技术是如何在2x-nm设计法则上联手打造并展示了世界第一款40Mb CMOS 阵列配备集成eMRAM的。

一大关键要素是STT-MRAM可以承受高达260ºC的高温长达5分钟,意味着普通的封装和集成回流步骤并不会影响储存的内容,而且代码储存可在晶元探针测试途中写入。此外,格芯的22FDX eMRAM根据设计可在150°C环境下维持数据长达10年, 让此项技术可被用于汽车SoC。 

另外的技术特点包括,内存在线后端进行生产,敏感的逻辑设备和电路因此可以避免在高温的线前端处理流程中遭到损害。这个特点同样让复用型IP得以实现,因为它们使用的是同样的PDK。内存阵列同样脱离了芯片核心的电压能源供应(Vdd 和I/O), 无需电流泵对电压进行调整。

格芯将在明年提供eMRAM技术,并将其作为总体22FDX技术组合中的一部分,而客户产品原型的多晶元项目将在今年年底开始。 

22FDX 硅应用于毫米波

在微波论坛活动中, Harame描述了大批量毫米波频率硅基芯片的市场是如何因为5G无线规格而蓬勃发展的。(详情请浏览, 行政视角:一切都将无线,射频芯片将其实现)

Harame阐述了拥有低晶体管泄漏电压的部分或全耗尽式SOI技术是如何成为此类应用的最高成本效率的。

他已经提到,由于移动蜂窝网络和WiFi交换器都广泛使用了SOI技术,此技术的经验基础非常深厚。其中一个例子是45纳米PDSOI,此技术已被投入到多个毫米波相位阵列系统应用中。 45纳米PDSOI拥有堆叠晶体管的能力,增加了供能调整能力,哪怕是对于功率放大器和低电压CMOS设备也同样适用。

他的演讲重点在于FDX是如何通过它的高k电介质系数栅极金属堆叠(high-k/MG)来进一步增进它的性能的,high-k/MG, 22纳米栅极长度和薄硅通道,这些技术特点共同打造了适用于即将来到的5G毫米波应用的最佳技术。 

Uniquify 加入FDXcelerator计划,向格芯22FDX®技术平台提供DDR内存 IP

SAN JOSE, CALIF. –– July 11, 2017 –– Uniquify, a leading system-on-chip (SoC) fabless manufacturer and DDR memory system intellectual property (IP) provider, today announced that it has joined GLOBALFOUNDRIES’ FDXcelerator™ Partner Program.

Almotive发布 aiWare: 首创人工智能优化的无人驾驶硬件加速器

MOUNTAIN VIEW and SANTA CLARA, Calif., June 29, 2017 – AImotive (www.aimotive.com) today announced its much anticipated, AI-optimized hardware IP is available to global chip manufacturers for license. aiWare, built from the ground up for running neural networks, is up to 20 times more power efficient than other leading AI acceleration hardware solutions on the market. VeriSilicon Holdings Co., Ltd. (www.verisilicon.com), a Silicon Platform as a Service (SiPaaS&reg;) company, will be the first to integrate aiWare into a chip design,and the aiWare-based test chips will be fabricated on the GLOBALFOUNDRIES (GF) 22FDX&reg; semiconductor process (www.globalfoundries.com)