22FDX Shows IoT Traction at MWC 2018

By: Dave Lammers

Competitors in the Internet of Things space are set to describe their chip designs at the upcoming Mobile World Congress (MWC 2018) in Barcelona, Spain, including several startups using GLOBALFOUNDRIES’ 22FDX® process.

Anup Savla, chief technology officer at Nanotel Technology, said his young company is designing several chips for use in the narrow band (NB) IoT space. Savla, who spent 11 years designing wireless ICs at Qualcomm after a three-year stint at Intel, said Nanotel chose to use the 22FDX process to reduce power consumption for its mixed-signal NB-IoT modem.

“We have a digital engine, a processor, designed around IoT applications, where the emphasis is on low power and low leakage. With 22FDX there are knobs that are available to turn down the power and leakage. The opportunities to do that are unparalleled, and you just don’t get that kind of opportunity from bulk CMOS,” he said.

The Nanotel transceiver design started before the first 22FDX design kits were officially released, using a 0.5 PDK, but with libraries targeted to the 0.4 V operating voltage. “From the beginning we were definitely targeting the .4 V library. The reason is that at the .8 V level you are not differentiating on power enough, relative to a bulk CMOS process. It is at .4 Volts where you are really getting power consumption levels that are significantly lower, at similar costs to a bulk CMOS process,” he said.

Asked about designing to an FD-SOI process, Savla said “we just had typical early adopter-type issues. Part of it was the additional modeling and testing requirements to use the back gate, but that will remain true regardless of the maturity of the process. It is not necessarily a negative.  If you really want to exploit what this process can do, then you want to vary the back-gate voltage, but that comes with the added modeling.”

Savla said the Nanotel chipset design is divided equally between digital and analog. “Within the same design we can use switches with backgate control to cut off the leakage current when the device is basically sleeping and not in use, to an extent that is not easily possible in bulk CMOS. On the other hand we can use the back-gate in active mode devices to make active operation possible with very, very low supply.”

Nanotel’s primary focus is not to sell ICs – it is a solution-focused company, designing devices and data packages for its customers, allowing them to use long range, low cost connectivity to the cellular network without having to rely on WiFi. Having its own chipset gives Nanotel a means to reduce costs and customize unique features for its customers, he said.

Dual-Mode Connectivity Solutions

The leading low-power wide-area (LPWA) connectivity standards — LTE-M, which is gaining traction in the U.S. market, and Narrowband IoT (NB-IoT), which being adopted in Europe and Asia – are expected to boost IoT deployments to nearly half a billion by 2021, according to ABI Research.

GF and VeriSilicon are developing a suite of IP to enable customers to create single-chip LPWA solutions that support either LTE-M or NB-IoT, a dual-mode solution. The IP enables a complete cellular modem module on a single chip, including integrated baseband, power management, RF radio and front-end components.

VeriSilicon provides Silicon Platform as a Service (SiPaaS) intellectual property which allows its customers to focus on differentiating features. VeriSilicon CEO Wayne Dai said the Chinese government has targeted NB-IoT for nationwide deployment over the coming year. GF’s new 300 mm fab for FDX in Chengdu, and IP platforms such as the single-chip solution for integrated NB-IoT and LTE-M, “will have a significant impact on China’s IoT and AIoT (AI of Things) industries.”

One Picoamp Per Micron

Anubhav Gupta, director of strategic marketing and business development for IoT, AI & Machine Learning at GF, said some customers are taking older multi-chip designs and creating single-chip solutions in 22FDX. “Due to the efficient SOI FET stacking for high power PA and high switch linearity, there are area, power and cost advantages in moving to a single die in 22FDX. We see low short channel effect, higher transconductance gain, significantly better mismatch and lower noise than equivalent designs in 28nm bulk.”

On the digital side, Gupta said the body-biasing capability allows customer to operate as low as 0.4V with standby leakage currents of less than one picoamp per micron. Also, GF now offers embedded MRAM with very fast wakeup, a similar read speed to flash, but a 1000x better write speed. When eMRAM is used in combination with on-chip SRAM, customers can avoid off-chip flash completely, Gupta said.

A Roadmap Required

Dan Hutcheson, CEO of Santa Clara, Calif.-based market research firm VLSI Research Inc., conducted a survey in 2016 of designers, asking for their opinions on fully depleted silicon-on-insulator (FD-SOI) compared with bulk CMOS. “The problem, people said back then, was that they didn’t know if there was a roadmap. Since then, GF has developed the 12FDX™ platform to follow on from the 22FDX, so that issue should be off the table.”

Hutcheson said he believes there are designs underway by companies that are holding their cards close to the vest. “Since 2016, there is a lot more IP available, and GF has addressed the issue of the roadmap with 12FDX, so that 22 is not just a one-stop thing.”

STMicroelectronics, which has several FD-SOI designs in production at 28nm, recently announced that it will turn to GF’s 22FDX process as the next stop on its FD-SOI roadmap.

A spokesperson from STMicroelectronics, said “since 22FDX integrates the second-generation active devices (transistors), it was natural for ST to select GF’s 22FDX technology as our next-node technology, after the 28nm FD-SOI technology we are already using.”

The spokesperson said ST takes a positive view of the “development of the 22FDX technology node in Dresden, which is now qualified for volume production and ready for primetime, so it is immediately usable by ST to develop products.” The wafer capacity and experience of the manufacturing team in Dresden “give us confidence in GF’s capability to qualify and produce in volume.”

‘Performance-Optimized’ Vision Processor

Jens Benndorf, chief operating officer of Dream Chip Technologies GmbH (Hannover, Germany), said his team used 0.8V libraries for its “performance-optimized” automotive vision processor. Dream Chip was the lead company in an EU-supported design project that included ARM’s A53 Quad and Cortex®-R5 lock step for functional safety, Cadence’s quad Vision P6 , FlexNOC from Arteris IP, LPDDR4 controller from INVECAS, and other IP partners. The resulting multi-core vision processor, based on the 22FDX process, was first unveiled a year ago at the 2017 MWC. Since then, the design is providing European auto makers and Tier 1 automotive component suppliers with a platform from which they can create custom derivatives.

“The automotive industry realized that their assisted driving solutions, besides Radar and Lidar, required more camera information, integrating information from multiple cameras. The resulting Multi-Processor Chip Design used forward biasing to boost performance, and not any back biasing,” Benndorf said. The result was a computer vision processor solution, measuring 64 sq. mm, with an estimated 1 billion transistors, and drawing 4 Watts, which he said is “a very aggressive power consumption number given how much vision processing is on the chip.”

Riot Micro Bets on Cellular Links

While Nanotel’s design is equally divided between digital and analog, another startup using the 22FDX process has an all-digital NB-IoT modem design. Peter Wong, CEO of Vancouver-based Riot Micro, said his company’s approach, which does not use a digital signal processing (DSP) approach, allows IoT customers to turn off large portions of the chip to save power. That is especially welcome for battery-powered IoT edge devices that might need to operate for a decade on a battery.

Riot Micro’s first design was done with a competing foundry’s 55nm bulk CMOS, but a follow-on chip is in the 22FDX process. The Riot Micro LTE Cat-M/NB-IoT modem includes an ultra-low power processor to run the protocol stack. “We borrowed design methodologies from the Bluetooth world to drive down the power and cost. The PHY is designed using gates instead of a DSP with a tightly coupled and highly optimized prototol stack, this gives us very fine granular power control over the modem.“, Wong said.

“With 22FDX, the value proposition for us is potential power and area savings,” said Wong. “In addition, leveraging the growing ecosystems of IP availability in the 22FDX process helps to accelerate time to market.”

The Riot Micro design is a digital cellular modem which supports the LTE Cat-M and NB-IOT cellular standards; Wong said the Riot Micro modem will be certified with several major cellular carriers this year. A customer in the Middle East is planning to use it for an emergency-alert system.

“There are many ways to connect things to the internet: WiFi, Bluetooth, Zigbee, cellular, etc… and there are use cases that fit all of them, but for many applications, cellular has so many advantages.  Cellular is inherently more secure, easy to deploy, provides mobility, and the spectrum is licensed and managed.   Just turn it on and it connects. You don’t have to worry about spectrum; that is all managed by the carrier.” he said, citing asset trackers and asset management as key applications.

Source: Riot Micro –
Narrow band IoT networks employ the cellular network for wide area networks at low power

Integrated Power Management

Gupta said GF sees some mixed-signal IoT customers trending toward a 0.4V power supply for the digital circuits, and 0.8 to 1.8 volts for the analog portions. “The availability of LDMOS in 22FDX removes the requirements for an external PMU (power management unit) for low power IoT applications. Typically in bulk processes they don’t have high voltage LDMOS, and since a lot of the IoT applications work on lithium-ion batteries, these applications would require an external power conversion chip for battery-powered applications.”

And the 0.4 V designs have enough digital performance to support an ARM core, for example, running from 100 Mhz up to sub-500 MHz speeds, Gupta said.

Tim Dry, segment marketing director at GF, said engineers are beginning to more fully understand the analog design capabilities of the 22FDX technology by using dynamic body-biasing. “It turns out that SOI body biasing enables of lot of analog scaling that we didn’t understand until recently. For ADCs (analog to digital converters), radios, and power components, we believe we can get the die area much smaller than with existing planar and potentially FinFET.”

The 22FDX solutions for IoT systems, such as smart meters, augmented reality and virtual reality headsets, utility control, and security cameras, can reduce power consumption. “Smart speakers are another application getting a lot of attention,” Dry said.

For more information on GF’s FDX™ solutions, join us at MWC from February 27 – March 2 at the Fira Gran Via in Barcelona, Spain, to learn about how GF’s technology platforms are positioned to enable a new era of ‘connected intelligence’ with the transition to 5G, or go to globalfoundries.com.

About Author

Dave Lammers

Dave Lammers

Dave Lammers is a contributing writer for Solid State Technology and a contributing blogger for GF’s Foundry Files. Dave started writing about the semiconductor industry while working at the Associated Press Tokyo bureau in the early 1980s, a time of rapid growth for the industry. He joined E.E. Times in 1985, covering Japan, Korea, and Taiwan for the next 14 years while based in Tokyo. In 1998 Dave, his wife Mieko, and their four children moved to Austin to set up a Texas bureau for E.E. Times. A graduate of the University of Notre Dame, Dave received a master’s in journalism at the University of Missouri School of Journalism.

INVECAS, Molex Collaborate on Automotive Infotainment Media Module

LISLE, Ill.–(BUSINESS WIRE)–Molex and INVECAS today announced a joint collaboration to develop an Automotive Infotainment Media Module for intelligent vehicles.

INVECAS和Molex在汽车信息娱乐媒体模块方面开展合作

 Molex and INVECAS today announced a joint collaboration to develop an Automotive Infotainment Media Module for intelligent vehicles. 

A Powerful Technology for 5G Applications Comes to Market

By: Baljit Chandhoke

We can’t literally see the congestion, but the electromagnetic spectrum has become so crowded with traffic at the frequencies commonly used for wireless connectivity and data communications, that data traffic jams are increasingly likely and disruptive. Compounding the problem is that today’s wireless devices and networks, operating at less than 6 GHz, are inherently inadequate for next-generation application requirements.

The solution is to make use of the millimeter-wave band of the spectrum (30 to 300 GHz), which offers much more bandwidth. The developing 5G standard you’ve heard so much about is intended to establish a common path forward for use of this so-called mmWave band.

However, it’s no mean feat to develop technology that can do this, especially for mobile applications. One issue is that ultra-high frequencies suffer from high propagation losses. That means high power output is required, but in a battery-powered device like a smartphone so is high energy efficiency – tough to do simultaneously. Another issue is that mmWave transmissions are susceptible to being blocked by buildings or other objects, and therefore the ability to form precise “pencil” beams that radiate to and from phased-array antennas is essential.

Enter GF’s 45nm RF SOI technology platform (45RFSOI), aimed at next-generation RF and mmWave applications like integrated front end modules (FEMs) and beamformers in 5G base stations and smartphones; broadband Satcom phased array terminals; automotive radar; and other evolving high-performance wired and wireless applications.

The 45RFSOI technology is fully qualified and ready for production, and we’re already working with major customers on several of these applications. We anticipate that several customers will begin to ramp products this year and next, and we expect the first volume production to commence later this year.

Process design kits are now available, and quarterly multiple-project wafer runs are also available for fast prototyping, so that customers can evaluate hardware results as early as possible.

Technical Highlights

The beauty of our 45RFSOI is that it’s an outgrowth of a 45nm partially depleted SOI server-class baseline 300mm technology that has been in volume production at multiple GF fabs for a decade. We evaluated it extensively for use in mmWave applications and added RF-centric enablement, device and technology features that give it the ability to meet forthcoming 5G requirements better than competing technologies.

For example, for superior RF performance the 45RFSOI platform combines high-frequency transistors (ft/fmax of 305/380 GHz, respectively) with a high-resistivity SOI substrate and RF-friendly metal interconnect. There are ultra-thick top-level copper interconnects for optimum transmission line design, and the interconnect also leads to improved noise isolation and suppression of harmonics so that extremely low-noise amplifiers (LNAs) can be achieved.

Meanwhile, to reduce power requirements, physical size and cost, 45RFSOI has been designed for the easy integration of features such as power amplifiers (PAs), switches, LNAs, phase shifters, up/down converters and voltage-controlled oscillators/phase-locked loops (VCOs/PLLs).

SOI technology electrically isolates the transistors from the substrate, unlike with standard CMOS technology where the substrate is a common node. Therefore, RF SOI transistors can be stacked to achieve higher breakdown voltages and power-handling capabilities, which is especially important for beamforming front-end circuits like PAs, LNAs and switches.

Moreover, because 45RFSOI enables such powerful and highly integrated chips, fewer chips will be required for an antenna array versus other technologies, giving customers the ability to build smaller, more cost-effective phased array systems.

A Range of RF Solutions

45RFSOI is the latest addition to GF’s set of technology solutions for RF applications, the industry’s broadest offering of RF foundry processes. They include 45RFSOI and 8SW RFSOI, silicon germanium (SiGe) and RF-CMOS technologies.

These technologies span a wide range of mature and advanced nodes with RF-optimized options, a broad range of ASIC design services and foundational intellectual property (IP).

Their most important feature, however, is that they help our customers address their difficult technological challenges, and give them the ability to better capitalize on the market opportunities before them.

mmWave Applications – Phased Array Antenna System

About Author

Baljit Chandhoke

Baljit Chandhoke

Baljit Chandhoke is Product Line Manager for GF’s industry-leading portfolio of RF solutions. He has more than 15 years of product line management experience in defining new products and competitive positioning, and driving design wins, revenue and go-to-market strategies in the wireless infrastructure, mobility (5G), networking and consumer market segments. He has authored multiple articles in leading industry publications, created many YouTube videos and hosted many webinars.

Prior to joining GF, Baljit worked in leadership positions at IDT, ON Semiconductor and Cypress Semiconductor. He completed his M.B.A. from Arizona State University; M.S. in Telecommunications from University of Colorado-Boulder; and his Bachelors in Electronics and Telecommunications Engineering from University of Mumbai, India. He completed the leadership program in Managing Teams for Innovation and Success from the Stanford Graduate School of Business.

 

针对5G应用的强大技术即将闪亮面市

作者: Baljit Chandhoke

虽然我们无法用肉眼看到,但在无线连接和数据通信通常使用的频率上,电磁频谱拥塞情况已经变得非常严重,导致数据流量堵塞的可能性越来越大,并且越来越具破坏性。令问题更加复杂的是,当今在6 GHz以下频率工作的无线设备和网络本质上不适合下一代应用需求。

解决方案是利用频谱的毫米波频段(30至300 GHz)来提供更多带宽。大家久闻大名的5G标准正在制定中,目标就是为这种所谓「毫米波频段」的应用建立一条共同的发展道路。

然而,开发能够胜任的技术并非易事,特别是对于移动应用来说。一个问题在于超高频率会遭受高传播损耗,这意味着需要高功率输出。但智能手机之类的电池供电设备同样要求高能效,这二者很难同时达成。另一个问题在于毫米波传输很容易被建筑物或其他物体阻挡,因此必须形成精密的「铅笔」型波束,以便相控阵天线辐射和接收。

格芯推出45nm RFSOI技术平台(45RFSOI),适用于下一代RF和毫米波应用,例如:5G基站和智能手机中的集成前端模块(FEM)和波束成形器、宽带卫星通信相控阵终端、汽车雷达及其他正在开发中的高性能有线和无线应用。

45RFSOI技术经过全面认证,已准备好投入生产,我们已经就一些应用与主要客户展开合作。预计今年晚些时候开始首批量产,今年和明年将有多家客户开始提高产量。

工艺设计套件现已推出,季度多项目晶圆运行也已开始,可用于快速原型开发,方便客户尽早评估硬件结果。

技术亮点

45RFSOI的美妙之处在于,它是45nm部分耗尽型SOI服务器级基线300mm技术的产物,该技术已经在格芯多家晶圆厂量产了十年。我们对其在毫米波应用中的使用进行了广泛评估,并增加了以RF为中心的赋能、器件和技术特性,使其能够比竞争技术更好地满足即将到来的5G需求。

例如,45RFSOI平台将高频晶体管(ft/fmax分别为305/380 GHz)与高电阻率SOI衬底和RF友好型金属互连结合在一起,提供出色的RF性能。它有超厚顶层铜互连以支持最佳传输线路设计,该互连还能改善噪声隔离和谐波抑制,从而实现极低噪声放大器(LNA)。

同时,为了降低功耗要求、物理尺寸和成本,45RFSOI可以轻松集成很多特性,例如功率放大器(PA)、开关、LNA、移相器、上/下变频器和压控振荡器/锁相环(VCO/PLL)。

SOI技术将晶体管与衬底进行电隔离,这不同于将衬底用作公共节点的标准CMOS技术。因此,RFSOI晶体管可以堆叠以实现更高的击穿电压和功率处理能力,这对于PA、LNA和开关等波束成形前端电路尤其重要。

此外,由于45RFSOI能够实现非常强大且高度集成的芯片,故与其他技术相比,天线阵列需要的芯片更少,让客户可以构建尺寸更小、成本效益更高的相控阵系统。

系列RF解决方案

45RFSOI是格芯针对RF应用提供的最新技术解决方案。格芯拥有业界最广泛的射频代工工艺,包括45RFSOI和8SW RFSOI、硅锗(SiGe)和RF-CMOS技术。

这些技术涵盖各种各样成熟先进的节点,提供RF优化选项、广泛的ASIC设计服务和基础知识产权(IP)。

不过,它们最重要的特点是帮助客户应对困难的技术挑战,帮助他们更好地抓住市场机遇。

毫米波应用 – 相控阵天线系统

格芯推出面向5G应用的45nm RF SOI客户原型设计

格芯300mm RF SOI产品已准备投入量产

加利福尼亚州圣克拉拉,2018年1月24日–格芯今日宣布,其45nm RF SOI (45RFSOI)技术平台已通过认证,准备投入量产。这种先进的RF SOI工艺引发了多位客户的关注和兴趣,它主要面向5G毫米波(mmWave)前端模块(FEM)应用,包括智能手机和适合未来基站的新一代毫米波波束成形系统。

由于新一代系统的工作频率会增加到24GHz以上,所以需要采用性能更高的RF芯片解决方案,以充分利用毫米波频谱中的大量可用带宽。格芯45RFSOI平台专门针对波束成形FEM实施了优化,功能先进,能够通过集成高频率晶体管、高电阻绝缘体上硅(SOI)衬底和超厚铜制程来提升RF性能。此外,SOI技术还支持轻松集成功率放大器、开关、LNA、移相器、上/下变频器和压控振荡器/锁相环(VCO/PLL),与面向未来每秒数千兆位的通信系统(包括互联网宽带卫星、智能手机以及5G基础设施)的竞争性技术相比,它能够降低成本和功耗,并减小尺寸。

Peregrine Semiconductor总裁兼首席技术官Jim Cable表示:“格芯是RF SOI解决方案领域的领导者,对Peregrine来说,选择格芯作为新一代RF SOI技术的战略合作伙伴乃明智之举,这种战略合作有助于我们推出更好的RF解决方案,为客户提供更高水平的产品性能、可靠性和可扩展性,并且,让我们能够挑战极限,开发集成式RF前端创新技术,满足不断演变的毫米波应用和新兴的5G市场需求。”

Anokiwave的首席执行官Bob Donahue表示:“要引领5G走向未来,就需要使用毫米波创新技术来分配更多带宽,以提供交付速度更快、品质更高的视频和多媒体内容和服务,格芯是RF SOI技术领域的领导者,通过利用其45RFSOI平台,Anokiwave能够开发适用于高速无线通信和网络、工作频段介于毫米波和sub-6GHz频段之间的差异化解决方案。”

格芯射频业务部资深副总裁Bami Bastani表示:“格芯不断扩展RF功能和产品组合,提供具有竞争力的RF SOI优势和精良的制造工艺,此举让我们的客户受益匪浅,从而在实际应用5G设备和网络的过程中发挥关键作用,对客户来说,我们的45RFSOI是一种理想技术,它力图提供最高性能的毫米波解决方案,以应对新一代移动和5G通信对高性能的需求。”

格芯的RF SOI解决方案是公司愿景的有机组成部分,公司致力于开发和交付新一代5G技术,帮助新一代设备、网络和有线/无线系统实现智能互联。格芯位于纽约州东菲什基尔的300mm生产线已成功实现了RF SOI解决方案的生产。客户现在可以着手优化其芯片设计,开发面向5G和毫米波应用的RF前端差异化、高性能解决方案。

如需了解更多有关格芯RF SOI解决方案的信息,请联系您的格芯销售代表或访问https://www.globalfoundries.com/cn

关于格芯

格芯是全球领先的全方位服务半导体代工厂,为世界上最富有灵感的科技公司提供独一无二的设计、开发和制造服务。伴随着全球生产基地横跨三大洲的发展步伐,格芯促生了改变行业的技术和系统的出现,并赋予了客户塑造市场的力量。格芯由阿布扎比穆巴达拉投资公司(Mubadala Investment Company)所有。欲了解更多信息,请访问 https://www.globalfoundries.com/cn

媒体垂询:

杨颖(Jessie Yang)
(021) 8029 6826
[email protected]

GLOBALFOUNDRIES Delivering 45nm RF SOI Customer Prototypes for 5G Applications

Company’s advanced 300mm RF SOI offering is ready for volume production

Santa Clara, Calif., January 24, 2018 – GLOBALFOUNDRIES today announced that its 45nm RF SOI (45RFSOI) technology platform has been qualified and is ready for volume production. Several customers are currently engaged for this advanced RF SOI process, which is targeted for 5G millimeter-wave (mmWave) front-end module (FEM) applications, including smartphones and next-generation mmWave beamforming systems in future base stations.

As next-generation systems move to frequencies above 24GHz, higher performance RF silicon solutions are required to exploit the large available bandwidth in the mmWave spectrum. GF’s 45RFSOI platform is optimized for beam forming FEMs, with features that improve RF performance through combining high-frequency transistors, high-resistivity silicon-on-insulator (SOI) substrates and ultra-thick copper wiring. Moreover, the SOI technology enables easy integration of power amplifiers, switches, LNAs, phase shifters, up/down converters and VCO/PLLs that lowers cost, size and power compared to competing technologies targeting tomorrow’s multi-gigabit-per-second communication systems, including internet broadband satellite, smartphones and 5G infrastructure.

“GF’s leadership in RF SOI solutions makes the company a perfect strategic partner for Peregrine’s next generation of RF SOI technologies,” said Jim Cable, Chairman and CTO of Peregrine Semiconductor. “It enables us to create RF solutions that provide our customers with new levels of product performance, reliability and scalability, and it allows us to push the envelope of integrated RF front-end innovation for evolving mmWave applications and emerging 5G markets.”

“To bring 5G into the future, mmWave innovations are needed for allocating more bandwidth to deliver faster, higher-quality video, and multimedia content and services,” Bob Donahue, CEO of Anokiwave. “GF’s RF SOI technology leadership and 45RFSOI platform enables Anokiwave to develop differentiated solutions designed to operate between the mmWave and sub-6GHz frequency band for high-speed wireless communications and networks.”

“GF continues to expand its RF capabilities and portfolio to provide competitive RF SOI advantages and manufacturing excellence that will enable our customers to play a critical role in bringing 5G devices and networks to real-world environments,” said Bami Bastani, senior vice president of the RF Business Unit at GF. “Our 45RFSOI is an ideal technology for customers that are looking to deliver the highest- performing mmWave solutions that will handle demanding performance requirements in next-generation mobile and 5G communications.”

GF’s RF SOI solutions are part of the company’s vision to develop and deliver the next wave of 5G technology aimed at enabling connected intelligence for next-generation devices, networks and wired/wireless systems. GF has a successful track record in manufacturing RF SOI solutions at its 300mm production line in East Fishkill, N.Y. Customers can now start optimizing their chip designs to develop differentiated solutions for high performance in the RF front end for 5G and mmWave applications.

For more information on GF’s RF SOI solutions, contact your GF sales representative or go to www.globalfoundries.com.

About GF:

GLOBALFOUNDRIES is a leading full-service semiconductor foundry providing a unique combination of design, development, and fabrication services to some of the world’s most inspired technology companies. With a global manufacturing footprint spanning three continents, GLOBALFOUNDRIES makes possible the technologies and systems that transform industries and give customers the power to shape their markets. GLOBALFOUNDRIES is owned by Mubadala Investment Company. For more information, visit https://www.globalfoundries.com.

Contacts:

Erica McGill
GLOBALFOUNDRIES
(518) 795-5240
[email protected]

意法半导体公司选择格芯22FDX®提升其FD-SOI平台和技术领导力

格芯FDX技术将赋能ST为新一代消费者和工业应用提供高性能、低功耗的产品

加利福尼亚州圣克拉拉和瑞士日内瓦,201819 日 —— 格芯(GLOBALFOUNDRIES)与意法半导体公司(STMicroelectronics,NYSE:STM)于今日宣布,意法半导体公司选定格芯 22 纳米 FD-SOI22FDX®)技术平台,为其新一代工业和消费应用的处理器解决方案提供支持。

在部署了业界首个 28 纳米 FD-SOI 技术平台之后,意法半导体公司采用格芯可量产的 22FDX 工艺和生态系统,为未来智能系统提供第二代 FD-SOI 解决方案,以拓展公司业务发展路径图。

FD-SOI 是对低功耗、高处理性能与高连接能力有较高要求的成本敏感型应用的理想选择”,意法半导体公司数字前端制造与技术执行副总裁 Joël Hartmann 表示,“格芯 22FDX 平台具有成本优化的超高性能与同类最佳的能源效率优势,再加上意法半导体公司在 FD-SOI 领域的丰富设计经验和IP基础,必将为我们的客户提供无与伦比的功耗、性能和成本价值。”

“意法半导体公司在 FD-SOI 技术方面拥有良好的业绩记录”,格芯的产品管理高级副总裁 Alain Mutricy 表示,“意法半导体公司拥有开创新技术和产品的悠久历史,有了格芯 22FDX 平台的加入,两家公司将能够在 22 纳米节点上提供差异化的 FD-SOI 产品。”

作为 FinFET 的补充路径,格芯多功能 FDX 平台可以将数字、模拟和射频功能集成到单一芯片,从而使客户能够设计出智能化且完全集成的系统解决方案。此项技术尤其适用于要求以最低成本的解决方案成本实现高性能、高能效的芯片,非常适合从智能客户端、无线连接到人工智能和智能汽车的广泛应用。

关于意法半导体公司

意法半导体(STMicroelectronics; ST)是全球领先的半导体公司,提供与日常生活息息相关的智能的、高能效的产品及解决方案。意法半导体的产品无处不在,致力于与客户共同努力实现智能驾驶、智能工厂、智慧城市和智能家居,以及下一代移动和物联网产品。享受科技、享受生活,意法半导体主张科技引领智能生活(life.augmented)的理念。

意法半导体 2016 年净收入 69.7 亿美元,在全球拥有 10 万余客户。详情请浏览意法半导体公司网站:www.st.com

关于格芯

格芯是全球领先的全方位服务半导体代工厂,为世界上最富有灵感的科技公司提供独一无二的设计、开发和制造服务。伴随着全球生产基地横跨三大洲的发展步伐,格芯促生了改变行业的技术和系统的出现,并赋予了客户塑造市场的力量。格芯由阿布扎比穆巴达拉投资公司(Mubadala Investment Company)所有。欲了解更多信息,请访问 https://www.globalfoundries.com/cn

媒体垂询:

杨颖(Jessie Yang)
(021) 8029 6826
[email protected]
关慧珠 (Sunny Guan)
86 13564132717
[email protected]
邢芳洁(Jay Xing
86 18801624170
[email protected]
范怡唯(Yiwei Fan
86 13585713665
[email protected]

STMicroelectronics Selects GLOBALFOUNDRIES 22FDX® to Extend Its FD-SOI Platform and Technology Leadership

GF’s FDX technology will enable ST to deliver high-performance, low-power products for next-generation consumer and industrial applications

Santa Clara, California and Geneva, Switzerland, January 9, 2018 – GLOBALFOUNDRIES and STMicroelectronics (NYSE: STM) today announced that ST has selected GF’s 22nm FD-SOI (22FDX®) technology platform to support its next-generation of processor solutions for industrial and consumer applications.

After deploying the industry’s first 28nm FD-SOI technology platform, ST is extending its commitment and roadmap by adopting GF’s production-ready 22FDX process and ecosystem to deliver second-generation FD-SOI solutions for the intelligent systems of tomorrow.

“FD-SOI is ideally suited for cost-sensitive applications requiring significant processing and connectivity capabilities at lower power consumption,” said Joël Hartmann, Executive Vice President, Digital Front-End Manufacturing and Technology, STMicroelectronics. “The cost-effective performance and best-in-class energy efficiency benefits of GF’s 22FDX platform, coupled with ST’s extensive design experience and IP base in FD-SOI, will enable our customers with unparalleled value for power, performance and cost. We are relying on GF’s Dresden site for manufacturing products using this technology.”

“ST has established a strong track record with FD-SOI technology,” said Alain Mutricy, senior vice president of product management at GF. “The addition of GF’s 22FDX platform, coupled with ST’s long history of pioneering new technologies and products, will enable the two companies to deliver differentiated FD-SOI products at the 22nm node.”

As a complementary path to FinFETs, GF’s versatile FDX platform offers the ability to integrate digital, analog, and RF functions onto a single chip, which allows customers to design intelligent and fully-integrated system solutions. The technology is uniquely suited for chips that require performance on demand and energy efficiency at the lowest solution cost, making it ideal for a broad range of applications, from intelligent clients and wireless connectivity to artificial intelligence and smart vehicles.

About STMicroelectronics

ST is a global semiconductor leader delivering intelligent and energy-efficient products and solutions that power the electronics at the heart of everyday life. ST’s products are found everywhere today, and together with our customers, we are enabling smarter driving and smarter factories, cities and homes, along with the next generation of mobile and Internet of Things devices. By getting more from technology to get more from life, ST stands for life.augmented.

In 2016, the Company’s net revenues were $6.97 billion, serving more than 100,000 customers worldwide. Further information can be found at www.st.com.

About GF:

GLOBALFOUNDRIES is a leading full-service semiconductor foundry providing a unique combination of design, development, and fabrication services to some of the world’s most inspired technology companies. With a global manufacturing footprint spanning three continents, GLOBALFOUNDRIES makes possible the technologies and systems that transform industries and give customers the power to shape their markets. GLOBALFOUNDRIES is owned by Mubadala Investment Company. For more information, visit https://www.globalfoundries.com.

For further information, please contact:

STMicroelectronics
Michael Markowitz
Director, Technical Media Relations
+1-781-591-0354
[email protected]

GF
Erica McGill
GF
(518) 795-5240
[email protected]

 

Will 2018 Really Be “The Year of 5G”?

By: Dr. Bami Bastani

When I returned from the holidays, I thought I had entered a time warp. Did I sleep through January and wake up near the end of February? I expected to see the usual deluge of news about the gadgets and gear that will be featured at the upcoming Consumer Electronics Show (CES 2018). Instead, I’ve seen story after story about next-generation 5G mobile networks—typically the stuff of Mobile World Congress in Barcelona.

Time warp or not, one thing is clear: 2018 is shaping up to be a huge year for 5G. With an estimated 8.4 billion connected devices expected to be on the market by 2020, there is an accelerating need for an ultra-fast, high-bandwidth, low-latency network to connect them. 5G is coming, and it can’t get here soon enough.

Qualcomm’s keynote on January 10 is sure to be a high point for the 5G buzz at CES 2018. Cristiano Amon, president of Qualcomm, will be sharing Qualcomm’s vision for leadership in the 5G era. We were fortunate to hear a preview of Cristiano’s story when he delivered a keynote at our GLOBALFOUNDRIES Technical Conference (GTC 2017) back in September. One of his key points was that the demanding requirements of 5G networks are driving increased complexity at the chipset level. This means silicon innovation is essential to enabling the transition to 5G.

At GF, we offer a sweeping range of semiconductor technologies designed to help customers’ transition to next-generation 5G wireless networks. We have the industry’s broadest set of technology solutions for a range of 5G applications, including mmWave front end modules (FEMs), standalone or integrated mmWave transceivers and baseband chips, and high-performance application processors for mobile and networking.

Our roadmap includes offerings in RF-SOI, silicon germanium (SiGe), and CMOS, including a wide range of mature and advanced nodes with RF-optimized options combined with a broad range of ASIC design services and IP. These application-specific solutions address various customer approaches to 5G by supporting a vast range of capabilities, from ultra-low energy sensors, to ultra-fast devices with long-lasting battery life, to higher levels of integration that support on-chip memory.

  • 5G RF and mmWave Transceivers and Baseband Processing: Whether it’s for 5G <6GHz applications or the new 5G mmWave bands, GF’s broad range of CMOS technologies with FinFET, FD-SOI and more mature bulk CMOS technologies have optimized RF and mmWave offerings that allow our customers to make the best design trade-offs between cost, power consumption and performance. GF’s FD-SOI technologies (22FDX and 12FDX) are truly differentiated CMOS platforms that provide the lowest power consumption solution for any RF or mmWave transceiver. In addition, FDX is very well suited to address another part of the 5G standard, massive IoT networks. GF’s optimized solutions provide customers a flexible and cost-effective solution to integrate RF and mmWave transceivers with baseband modem or digital “calibration” processing in 5G handsets and base stations, NB-IoT solutions and other high-performance applications.
  • 5G mmWave Front End Modules: GF’s RF-SOI and SiGe solutions (130nm-45nm) deliver an optimal combination of performance, integration and power efficiency for FEMs with integrated switches, low noise amplifiers and power amplifier applications. For certain applications, such as 5G mmWave handsets and small base stations, GF’s 22FDX mmWave optimized offering makes it possible to integrate FEMs and transceivers onto a single chip, delivering significant advantages in terms of cost, power consumption and footprint. GF’s mmWave solutions are designed to serve applications ranging from sub-6 GHz to mmWave frequency bands.
  • Advanced Applications Processing: GF’s advanced CMOS FinFET-based process technologies deliver an optimal combination of performance, integration and power efficiency for next-generation smartphone processors, low latency networks and massive MIMO networks. Advanced CMOS solutions are available today from GF.
  • Custom Design for 5G Wireless Base Stations: The company’s application-specific integrated circuit (ASIC) design systems (FX-14 and FX-7) enable optimized 5G solutions (functional modules) by supporting wireless infrastructure protocols on high-speed SerDes, solutions to integrate advanced packaging, monolithic, ADC/DAC and programmable logic.

5G will undoubtedly play an integral role in helping next-generation networks provide “zero-distance connectivity” between users and their devices, allowing people to take full advantage of the processing power of the cloud as well as edge-to-edge connectivity. With the demand for 5G accelerating rapidly, GF will continue to work with its partners to provide solutions that will allow our customers to succeed in this competitive space. Stay tuned as we continue to roll out new details of our technology solutions for 5G throughout the coming year.

About Author

Dr. Bami Bastani

Dr. Bami Bastani

Dr. Bami Bastani is head of GLOBALFOUNDRIES’ radio frequency (RF) business unit, responsible for expanding the organization’s business leadership position in RF.

Bastani has more than 35 years of industry experience in the semiconductor industry, including component to system-level RF technologies. Prior to joining GLOBALFOUNDRIES, he was president, CEO and board member of Meru Networks, a global enterprise-grade Wi-Fi networks solution provider. During his time with the company, Bastani transformed Meru Networks from a hardware company to a solution provider, delivering a portfolio of software, software-defined networks (2015 SDN Excellence Award) and subscription cloud offerings (WaaS).

Dr. Bastani has also held positions of president, CEO and board member in the mobility, consumer and broadband markets, including president and CEO of Trident Microsystems, Inc. and ANADIGICS, Inc. In addition, he has served in executive positions at Fujitsu Microelectronics, National Semiconductor and Intel Corporation.

Dr. Bastani holds a Ph.D. & MSEE in Microelectronics from Ohio State University.