GLOBALFOUNDRIES and Chengdu Realign Joint Venture Strategy

To re-focus JV on high-demand, differentiated technologies for the Chinese market in line with GF’s recently announced shift to its technology portfolio

 

Chengdu, People’s Republic of China, Oct 26, 2018 – GLOBALFOUNDRIES and the Chengdu municipality signed an amendment to their investment and cooperation agreement today. Based on market condition changes, GF’s recently announced renewed focus on differentiated offerings, and discussions with potential clients, the partners have decided to bypass the original phase one investment in mainstream process technology (180/130nm). It is also agreed that the project timeline will be adapted to better align capacity to meet China-based demand for differentiated offerings including GF’s industry leading 22FDX® technology.

 

With more than $2 billion of design wins and more than 50 client designs, GF’s 22FDX technology is demonstrating traction as the industry’s leading platform for power-optimized chips across a broad range of high-growth applications such as automotive, 5G connectivity and the Internet of Things (IoT). GF’s Chinese clients are beginning to adopt the technology at GF’s advanced manufacturing site in Dresden, Germany, including seven customers and more than nine products in various stages of manufacturing ramp.

 

“We have a long-term relationship with GF and the 22FDX with its low power is very suitable for our various products, including AI and security,” said Min Li, CEO of Rockchip. “Once we achieve the right level of readiness, we look forward to ramping our production closer to home in China.”

 

The partners plan to continue to build a world-class FD-SOI ecosystem, including creating local technology infrastructure and bringing in more IP vendors and EDA partners, making Chengdu a center of excellence for FDXTM technology and thereby enabling local market adoption and demand generation.

 

“As a strategic partner of the GF and Chengdu joint venture, we believe this realignment of the project plan is based on recognizing rapidly changing market conditions,” stated the Chengdu Shareholder. “The goal is to allow both parties sufficient time to better understand the demand picture in China so as to plan for optimal capacity and a production time.”

 

“China, as one of the largest and fastest growing semiconductor markets around the globe, is a high priority for GF,” said GF CEO Tom Caulfield. “FDX technology is particularly well-suited to the China market and we continue to see strong potential for its up-take in attractive segments such as 5G, IoT and edge computing. We’ll be working with Chengdu to deepen our collaboration to jointly accelerate the FDX ecosystem and customer base in China.”

 

About GF

GLOBALFOUNDRIES (GF) is a leading full-service foundry delivering truly differentiated semiconductor technologies for a range of high-growth markets. GF provides a unique combination of design, development, and fabrication services, with a range of innovative IP and feature-rich offerings including FinFET, FDX™, RF, and power/analog mixed signal. With a manufacturing footprint spanning three continents, GF has the flexibility and agility to meet the dynamic needs of clients across the globe. GF is owned by Mubadala Investment Company. For more information, visit globalfoundries.com.

 

Gary Patton: A Focus on New Dimensions of Innovation

By: Gary Dagastine

Whenever a company announces a major strategy shift and restructuring, as GF did in pivoting away from 7nm FinFET technology development, it’s understandable that confusion, uncertainty and misunderstandings may arise.

The best way to allay these concerns is to take an objective look at the situation: Demand for chips for the automotive, IoT, mobility and data center/wireless infrastructure markets is growing strongly. That opens up many new opportunities to leverage GF’s broad portfolio of existing, proven technologies by tailoring, or differentiating, them specifically for these markets. In addition, many potential clients in these areas are startups or non-traditional firms that can benefit from GF’s expanding service offerings. Stepping off the hugely expensive FinFET scaling treadmill, therefore, lets GF redeploy its resources to better pursue these opportunities.

Dr. Gary Patton, GF’s Chief Technology Officer and Senior Vice President of Worldwide Research and Development, explained these industry dynamics and discussed GF’s technology strategy in a keynote talk recently at the Global Semiconductor Alliance (GSA) Silicon Summit East 2018 forum in Saratoga Springs, NY. The Foundry Files sat down with him afterward to learn more.

FF: For decades progress in electronics has depended on making transistors smaller to increase the speed and processing power of integrated circuits. What has changed?

Gary: Scaling does still have a place for chips used in high-performance computing, but elsewhere the benefits to be gained by following Moore’s Law are diminishing as scaling costs escalate. That doesn’t mean innovation is finished, though. The good news is that existing technologies are now so powerful that by adding new features to them and combining them in various ways, new architectures and ways of computing are possible. What’s really happening is a shift is taking place, from a general-purpose computing approach to a more industry- or domain-specific one.

Dimension of Innovation: Innovation is shifting toward the creation of differentiated features for leading edge

FF: How is GF taking advantage of this shift?

Gary: Very successfully, given that a majority of our revenue already comes from differentiated offerings. What we call the four pillars supporting everything we do are our FDX, FinFET, RF and power/analog-mixed-signal (AMS) technologies.

Our FDX technology is purpose-built for today’s power-sensitive applications, offering low active and standby power yet with the density and performance needed. It offers unmatched RF performance for always-on connectivity, low latency, and higher data rates to help make RF-driven IoT a reality. There is a lot of interest from clients designing chips for the IoT, especially as IoT will make a shift in coming years from WiFi- to RF-enabled. Overall we will have about 20 FDX production tapeouts this year, and we expect that number to more than double next year.

In FinFETs, we are realigning our roadmap to serve the next wave of clients that will adopt the technology in coming years. We have shifted development resources to make our 14/12nm FinFET platform more relevant to them by delivering a range of innovative IP and features. For example, for emerging enterprise, cloud and communication applications, we’re working on one-time and multi-time programmable (OTP/MTP) embedded non-volatile memory (eNVM) for ultra-high-security performance. This is based on GF’s physically undetectable and unclonable charge-trapping technology and will make possible market-leading security solutions. They also will offer higher levels of SoC integration. Our NVM solutions require no additional processing or masking steps, and are up to twice the density of similar OTP solutions based on dielectric fuse technology.

In RF, GF has a rich portfolio of offerings that align well with proposed architectures and which continue to advance in order to meet 5G and other requirements. RF FDX, for example, enables deep coverage, massive connections and low power consumption for narrow-band IoT, while RF FinFET technology offers excellent scaling and power consumption. RFSOI enables clients to build state-of-the-art LNAs/switches & control function integration for RF front-end modules, phased arrays, and millimeter-wave beamforming. Our various SiGe-based RF offerings are performance-tuned for a long list of low- and high-power applications including automotive radar/lidar, base stations, wired/optical/ mmWave & phased-array communications. By the way, clients are increasingly using our SiGe-based products with CMOS integration to displace the GaAs processes historically used for cellular and Wi-Fi power amplifiers.

Our AMS offerings span a wide range of process nodes (180-40nm) and voltages (3–700 volts), offering clients an outstanding selection of functions and price points. Our BCD/BCDLite and high-voltage (HV) technologies are based on GF’s efficient HV CMOS process and include power and HV transistors, precision analog passives and NVM memory for a wide range of traditional and emerging mobility, automotive, IoT and other applications.

 

GF’s feature-rich, differentiated offerings

FF: You mentioned in your talk that advanced packaging is a powerful differentiator for GF.  How so?

Gary: GF’s high-performance, cost-effective 2.5D, 3D, and silicon photonics advanced packaging technologies support each of the four pillars, and are aimed directly at emerging applications like 5G, networking/base stations, AI/ML and advanced automotive solutions.

For example, our though-silicon-via (TSV) technology is well-suited for differentiated uses such as TSVs for RF applications; grounded TSVs for power amplifiers; and isolated TSVs for stacking antennas and/or other passives on RF die (for excellent signal integrity and/or significant size reduction of mobile front-end modules). Also, when implemented through 2.5D and 3D die-stacking, TSVs can allow for reduced latency and power by moving memory closer to logic. Die-stacking can offer significant cost advantages through heterogeneous die partitioning and function re-use like splitting I/O, logic, and memory functions into smaller, lower-cost die using stacking package architectures versus traditional monolithic 2D design.

With regard to silicon photonics (SiPh) ICs, we have both fiber-attach and laser-attach packaging technology that will be offered through GF’s SiPh foundry offerings.

We have been executing qualifications of our advanced package offerings with major OSATs. For 3D packaging, we will support multiple thermal solution options at the OSATs depending on the product thermal needs, I would also like to point out that we have developed test technology for all of our advanced packaging solutions to help clients become familiar with them and speed their projects.

FF: What would you like to say about GF’s research activities now that the company has moved away from extremely scaled CMOS?

Gary: First of all, there was a perception that we were entirely focused on leading-edge research, or that it was the only research that really mattered to us, but that simply wasn’t the case. We have always conducted R&D to bring new features to our existing offerings, to add new capabilities, to increase their performance and/or to decrease their cost. Our FinFET technology provides a good example. First, we successfully integrated a MIM capacitor in the interconnect, which resulted in a 10% performance improvement. Then, we developed new IP libraries and achieved a further 5% boost. Right now we are enhancing the RF capabilities of these proven devices with an eye toward the rollout of 5G.

With the GF pivot, our research focus is to move more aggressively to differentiate our proven technologies—in effect, to create derivatives of them which enable new applications—to address the new opportunities we’ve been discussing.

FF: Where will this work take place?

Gary: We have a large R&D group in Malta whose focus is on differentiated CMOS technology development. Our team in East Fishkill works on silicon photonics, RF and packaging technology, key areas of differentiation for us. In Singapore we have a significant ongoing R&D effort in differentiated power and RF technologies at 40nm and larger nodes, while Burlington is where our industry-leading RF solutions are developed. We continue to collaborate with universities across the world and participate in industry research consortia such as imecFraunhofer and IME on a range of topics aligned with what we see as our best market opportunities.

FF: Any closing comments?

Gary: A company is only as good as its people, and I am very proud of our track record of first-time-right client tapeouts across our world-wide fabs. That’s not easy to do with such a complex set of technologies, and is a testament to the talent, professionalism and diligence of our colleagues and engineers.

About Author

Gary Dagastine

Gary Dagastine

Gary Dagastine is a writer who has covered the semiconductor industry for EE Times, Electronics Weekly and many specialized media outlets. He is a contributing editor at Nanochip Fab Solutions magazine and also is the Director of Media Relations for the IEEE International Electron Devices Meeting (IEDM), the world’s most influential technology conference for semiconductors. He started in the industry at General Electric Co. where he provided communications support to GE’s power, analog and custom IC businesses. Gary is a graduate of Union College in Schenectady, New York.

 

Gary Patton:关注创新的新维度

作者: Gary Dagastine

每当一家公司宣布重大战略转变和重组时,市场上出现一些困惑、不确定和误解都是可以理解的,正如格芯宣布放弃7nm FinFET技术开发。

缓解这些担忧的最佳方法是客观看待事实:汽车、物联网、移动和数据中心/无线基础设施市场的芯片需求正在强劲增长。这为格芯开创了许多新机遇,通过针对这些市场进行量身定制或差异化,格芯可充分利用现有成熟技术的广泛组合。此外,这些领域的许多潜在客户是初创公司或非传统型公司,他们可以从格芯的服务产品扩充中受益。因此,放弃成本高昂的FinFET微缩投入,格芯可以重新部署其资源,以更好地抓住这些机遇。

最近,格芯全球研发部门的首席技术官兼副总裁Gary Patton博士参加纽约州萨拉托加温泉市的2018全球半导体联盟(GSA)硅峰会东部论坛,在主题演讲中阐释了行业动态并介绍了格芯的技术战略。随后,晶圆厂文件对他进行了详细采访。

FF:几十年来,电子器件的进步取决于不断缩小的晶体管尺寸,以提高集成电路的速度和处理能力。现在情况改变了吗?

Gary:微缩技术在高性能计算芯片领域中仍占有一席之地,但在其他领域,随着微缩成本不断增加,摩尔定律所带来的优势正在减少。但这并不意味着创新已经结束。好消息是,现有技术已经足够强大,通过添加新特性并以不同方式进行组合,有可能实现新的架构和计算方法。实际上,通用计算方法正转向特定行业或特定领域方法。

创新维度:创新正朝先进差异化特性创造方向转变

FF:格芯如何利用这种转变?

Gary:非常成功,我们的大部分收入来自差异化产品。支持我们一切业务行为的四大支柱是FDX、FinFET、射频和电源/模拟混合信号(AMS)技术。
我们的FDX技术专为当今的功耗敏感型应用而设计,既可提供低工作功耗和待机功耗,又可提供所需的密度和性能。它提供无与伦比的射频性能,可实现始终在线的连接、低延迟和更高的数据速率,从而帮助实现射频驱动的物联网。客户越来越关注物联网芯片设计,尤其物联网将在未来几年内从WiFi向射频转变。总的来说,今年我们有大约20个FDX生产流片,预计明年这个数字将翻一倍以上。

在FinFET方面,我们正在重新调整路线图,以便服务于未来几年采用该技术的下一波客户。通过一系列创新IP和特性,我们转变了开发资源,使14/12nm FinFET平台与客户建立更紧密的联系。例如,对于新兴企业、云和通信应用,我们正在开发一次性和多次可编程(OTP/MTP)嵌入式非易失性存储器(eNVM),以实现超高安全性能。该产品基于格芯物理上无法检测和不可克隆的电荷捕获技术,可实现市场领先的安全解决方案。该解决方案还将提供更高的SoC集成度。NVM解决方案无需额外的处理或屏蔽步骤,与基于介电熔丝技术的类似OTP解决方案相比,可提供双倍密度。

在射频方面,格芯拥有丰富的产品组合,可与建议的架构保持高度一致,并可继续发展以满足5G和其他要求。例如,RF FDX针对窄带物联网以实现深度覆盖、大规模连接和低功耗,而RF FinFET技术可提供出色的扩展和功耗性能。RFSOI使客户能够为射频前端模块、相控阵和毫米波波束成形构建先进的LNA/开关与控制功能的集成。我们的各种SiGe射频产品经过性能优化,适用于大量低功率和高功率应用,包括汽车雷达/激光雷达、基站、有线/光纤/毫米波通信和相控阵通信。顺带一提,客户越来越青睐我们基于SiGe的产品和CMOS集成,以取代传统上用于蜂窝和Wi-Fi功率放大器的GaAs工艺。

我们的AMS产品涵盖各种工艺节点(180-40nm)和电压(3-700V),为客户提供出色的功能和价位组合选择。BCD/BCDLite和高压(HV)技术基于格芯的高效HV CMOS工艺,包括电源和HV晶体管、精密模拟无源器件和NVM存储器,适用于各种传统和新兴的移动、汽车、物联网和其他应用。

格芯功能丰富的差异化产品

FF:您在演讲中提到先进封装是格芯强大的差异化优势。这是如何实现的?

Gary:格芯高性能、经济高效的2.5D、3D和硅光子学先进封装技术为四大支柱提供支持,直接面向新兴应用,如5G、网络/基站、AI/ML以及先进的汽车解决方案。

例如,我们的硅过孔(TSV)技术非常适合差异化应用,包括用于射频应用的TSV;用于功率放大器的接地TSV;用于射频芯片中堆叠天线和/或其他无源器件的隔离TSV(以获得出色的信号完整性和/或移动前端模块尺寸的显著减小)。此外,TSV通过2.5D和3D芯片堆叠实现,可使存储器更靠近逻辑器件,从而减少延迟和功耗。通过异构芯片分区和功能重复使用(例如,与传统的单芯片2D设计相比,使用堆叠封装架构可将I/O、逻辑和存储器功能分成尺寸更小、成本更低的芯片),芯片堆叠可提供显著的成本优势。

至于硅光子(SiPh) IC,我们将通过格芯的SiPh代工产品提供光纤连接和激光连接两种封装技术。

我们一直与主要OSAT合作完成先进封装产品的认证。针对3D封装,我们将根据产品热需求在OSAT端支持多种热解决方案选项,另外应指出,我们已经为所有先进封装解决方案开发了测试技术,以帮助客户熟悉这些方案并加快项目进展。

FF:格芯现已脱离CMOS极度微缩技术,公司目前的研究活动如何?

Gary:首先,有一种观点认为我们过去完全专注于前沿研究,或者说这是我们唯一关注的研究领域,事实并非如此。如何为现有产品带来新特性、增加新功能、提高性能和/或降低成本一直是我们的研发目标。FinFET技术就是一个很好的示例。首先,我们成功地在互连中集成了MIM电容,从而使性能提高10%。其次,我们开发了新的IP库,使性能进一步提高5%。目前,我们正在增强这些成熟器件的射频功能,准备5G的部署。
随着格芯的转型,研究重点将转向对成熟技术进行更积极的差异化(即创建衍生技术以实现新应用),以迎接我们一直在讨论的新机遇。

FF:这些研究工作将在哪里进行?

Gary:我们在马耳他拥有一个大型研发团队,专注于差异化CMOS技术的开发。东菲茨基尔的团队将致力于硅光子、射频和封装技术等差异化关键领域。新加坡方面正在进行40nm及以上节点的差异化电源和射频技术方研发,而伯灵顿正在开发业界领先的射频解决方案。我们将继续与世界各地的大学合作,参加各种相关主题(针对最佳市场机遇)的行业研究联盟,如imec、Fraunhofer和IME。

FF:您有什么结束语吗?

Gary:一流的公司离不开一流的员工,格芯全球晶圆厂客户流片一次成功率的出色表现让我自豪。在复杂的技术组合下实现这一目标绝非易事,这是员工和工程师才能、专业性和勤奋的证明。

关于作者

Gary Dagastine

Gary Dagastine是一位职业撰稿人,主要为EE Times、Electronics Weekly和许多专业媒体撰写关于半导体行业的文章。他是NanocEEhip Fab Solutions杂志的特约编辑,也是IEEE国际电子器件大会(IEDM)(全球最具影响力的半导体技术大会)的媒体关系主管。加入General Electric Co.之后,他开始涉足半导体行业,在该公司工作期间,他负责为GE功率、模拟和定制IC业务提供沟通支持。Gary毕业于纽约斯克内克塔迪联合大学。

FD-SOI: How Body Bias Creates Unique Differentiation

By: Manuel Sellier

Fully depleted silicon-on-insulator (FD-SOI) relies on a very unique substrate whose layer thicknesses are controlled at the atomic scale. FD-SOI offers remarkable transistor performance in terms of power, performance, area and cost tradeoffs (PPAC), making it possible to cover from low-power to high-performance digital applications with a single technology platform. FD-SOI delivers numerous unique advantages including near-threshold supply capability, ultra-low sensitivity to radiation and very high intrinsic transistor speed, making it perhaps the fastest RF-CMOS technology on the market. On top of these advantages FD-SOI is the only CMOS technology to offer the possibility to fully control the threshold voltage of the transistors dynamically through body bias (Figure 1).

Figure 1: FD-SOI cross section and body bias principle.

In order to explain why body bias is such a game changing feature we start with the problems it helps to solve. In the search for higher energy efficiency digital designers face two main challenges. The first one relates to the impact of variations, which modifies the actual chip specification defined by the extreme cases of variations (the so called “corners”). This tends to degrade significantly the energy efficiency of the chip (cf. Figure 2). Therefore, to optimize the energy efficiency, product engineers often use compensation techniques (cf. Figure 3). The most common compensation technique is based on Adaptive Voltage Scaling (AVS), i.e. playing with the level of supply voltage depending on the process centering of the chip. This technique is widely deployed in the mobile phone for process compensation but faces severe limitation in automotive and IoT markets because of the strong impact in terms of reliability, the difficulty to implement efficient temperature and aging compensation and the new and specific design know-how that it involves for most design companies.

Figure 2: Principle of variations impact on energy efficiency.

Figure 3: Principle of compensation techniques.

The second problem lies in the optimization of energy consumption. With advanced technology scaling leakage power has most probably become the most critical problem to solve. It is important to balance correctly the level of leakage with the level of dynamic power. However, in bulk CMOS technologies the parameters fixing leakage (Vth, gate length) are mostly static and defined by process. There is therefore no adaptive leakage optimization possibility, except by switching off entire parts of the circuit. The energy point, i.e. the balance between dynamic and leakage power is fixed and cannot be changed dynamically.

Through its control of transistor threshold voltage, body bias acts as a control knob capable of solving most of these aforementioned issues facing designers targeting energy efficiency.

Not only can global variations be very efficiently mitigated, but also and most importantly, designers can design their chips with reduced design corners for process, temperature and aging, and boosting the Power-Performance-Area (PPA) tradeoff starting at synthesis.

Figure 4: Impact of process compensation techniques based on body bias. Source : Flatresse, ICICDT17

The leakage, which is exponentially dependent on the threshold voltage, can now be modified dynamically with body bias. Energy optimization can be performed dynamically by simultaneously playing with the right amount of supply voltage and body bias. The resulting energy efficiency gain is double at nominal Vdd and can increase to 6x at ultra-low voltage.

To efficiently implement body bias at the circuit level, one must modify current power management infrastructure, which leverages today’s supply voltage only, to support power management solutions capable of managing both supply voltage and body bias.

Dolphin Integration has been cooperating with GF over the past two years to release the world’s first power management IP platform. This power management IP platform, now proven in 22FDX, consists in a consistent set of configurable Voltage Regulators, scalable and module Power Management Unit (a.k.a. PMU logic/ACU), Power IO and island Gating and Voltage Monitors.

To allow SoC designers to extract the full PPAC potential of FD-SOI for their SoC, the companies are now exploring the extension of this power management IP platform to enable the dynamic control of power supply and body bias. This extended power management IP platform will leverage existing body biasing solutions while complementing them with application-optimized body bias generators and advanced monitoring techniques (cf. Figure 5).

Figure 5: Dolphin current power management infrastructure and the project ongoing to include body bias. Source : F. Renoux, SOI Consortium Shanghai 2018.

The presence of these kinds of solutions available on the market is driving the value proposition for FD-SOI outperforming PPA against any other technology for low power and energy efficient applications. More importantly, the availability of a body biasing turnkey solution lowers significantly entry barriers, making this FD-SOI value proposition available to all players, from mobile and IoT to automotive.

The value of FD-SOI is truly based on the capability to leverage body bias, which is a completely disruptive approach in the advanced CMOS landscape compared to existing technologies. FD-SOI is a game-changer, realizing an order of magnitude power efficiency gain. With the support of silicon IP providers like Dolphin Integration, new power/performance/reliability management infrastructures will be available to customers to fully leverage the benefits of this technology, paving the way to future performance standards in IoT and automotive.

About Author

Manuel Sellier

Manuel Sellier

Manuel Sellier is Soitec’s product marketing manager, responsible for defining the business plans, marketing strategies, and design specifications for the fully depleted silicon-on-insulator (FD-SOI), photonics-SOI, and imager-SOI product lines. Before joining Soitec, he worked for STMicroelectronics, initially as a digital designer covering advanced signoff solutions for high-performance application processors. He earned his Ph.D. degree in the modeling and circuit simulation of advanced metal–oxide–semiconductor transistors (FD-SOI and fin field-effect transistors). He holds several patents in various fields of engineering and has published a wide variety of papers in journals and at international conferences.

 

eMemory’s OTP IP Qualified on GLOBALFOUNDRIES 22nm FD-SOI process

eMemory today announced that its one-time programmable (OTP) non-volatile memory IP, NeoFuse, has been qualified on GLOBALFOUNDRIES (GF) 22FDX ® 22nm Fully-Depleted Silicon On-Insulator (FD-SOI) process technology…

eMemory的OTP IP已在格芯22nm FD-SOI工艺上通过认证

eMemory today announced that its one-time programmable (OTP) non-volatile memory IP, NeoFuse, has been qualified on GLOBALFOUNDRIES (GF) 22FDX ® 22nm Fully-Depleted Silicon On-Insulator (FD-SOI)…

FD-SOI:基体偏压如何创造独特差异化

全耗尽式绝缘体上硅(FD-SOI)依赖一种非常独特的衬底,其层厚度控制在原子级。FD-SOI在功耗、性能、面积和成本权衡(PPAC)方面提供出色的晶体管性能,仅凭借单个技术平台,即可覆盖从低功耗到高性能数字应用的众多领域。FD-SOI具备诸多独特优势,包括接近阈值的供电能力、超低的辐射敏感度、极高的本征晶体管速度,属于市场高速RF-CMOS技术之一。依托这些优势,FD-SOI是唯一能够通过基体偏压来动态完全控制晶体管阈值电压的CMOS技术(图1)。

图1:FD-SOI剖面图和基体偏压原理。

要解释为什么基体偏压具有颠覆性,首先应阐述它解决的问题。力求提高能效的数字设计人员面临两大主要挑战。第一个挑战与波动影响相关,它会改变由极端波动情况(即所谓的“边角”)决定的实际芯片规格。这通常会大幅降低芯片的能效(如图2所示)。因此,为了优化能效,产品工程师通常使用补偿技术(如图3所示)。最常见的补偿技术基于自适应电压调节(AVS),也就是调节电源电压水平,这要取决于芯片的流程管理。此技术广泛应用于移动电话中的流程补偿,但在汽车和物联网市场却面临严重限制,因为它会影响可靠性,难以实施有效的温度和老化补偿,对大多数设计公司而言还涉及新的设计专业知识。

图2:波动对能效的影响。

图3:补偿技术的原理

第二个问题在于能耗的优化。采用先进技术,调节泄漏功耗很可能成为亟待解决的关键问题。必须正确地平衡泄漏功耗水平与动态功耗水平。但是,在体硅CMOS技术中,修正泄漏的参数(Vth,栅极长度)大多数是静态,由流程定义。因此,除非关闭整个电路器件,否则不可能实现自适应泄漏优化。能效点(即动态功耗和泄漏功耗之间的平衡点)是固定的,无法动态更改。

通过控制晶体管阈值电压,基体偏压可以充当控制旋钮,能够解决设计人员在能效方面遇到的大部分上述问题。

它不仅能够高效地减少整体波动,最重要的是,设计人员在设计芯片时,可减少流程、温度和老化方面的设计死角,从合成起点开始改善功率、性能和面积(PPA)权衡。

图4:基于基体偏压的流程补偿技术的影响。资料来源:Flatresse,ICICDT17

泄漏在很大程度上取决于阈值电压,而现在可通过基体偏压进行动态修改。通过同时调节正确数量的电源电压和基体偏压,可以动态地执行能耗优化。在标称Vdd下,所得能效增益翻倍,而在超低电压下,能效增益甚至可以提高至6倍。

为了在电路级别上有效地实施基体偏压,设计人员必须修改仅利用当前电源电压的现有功率管理基础设施,以支持能够同时管理电源电压和基体偏压的电源管理解决方案。

过去两年,Dolphin Integration积极配合格芯,推出全球首个电源管理IP平台。该电源管理IP平台已在22FDX中得到证明,包括一系列可配置的稳压器、可扩展的模块化电源管理单元(也称为“PMU逻辑/ACU”)、电源IO、电源岛门控和电压监控器。

为了帮助SoC设计人员充分发挥FD-SOI的PPAC潜力,两家公司正在探索这款电源管理IP平台的扩展,以实现对电源和基体偏压的动态控制。此扩展型电源管理IP平台将利用现有基体偏压解决方案,同时以针对应用优化的基体偏压生成器和先进监控技术作为补充(如图5所示)。

图5:Dolphin的当前电源管理基础设施,以及包括基体偏压的项目。资料来源:F. Renoux,2018上海SOI论坛。

市场上的此类解决方案证明了FD-SOI对于低功耗和高能效应用优于PPA和其他任何技术的价值主张。更重要的是,基体偏压统包解决方案的发布显著降低了门槛,从手机到物联网再到汽车行业,所有厂商都能实现FD-SOI价值主张,。

FD-SOI的价值实际上基于它充分利用基体编压的能力,在先进CMOS领域中,它是一种完全颠覆现有技术的方法。作为突破性技术,FD-SOI实现了一个数量级的能效增益。在Dolphin Integration等芯片IP提供商的支持下,客户将获得新的功率/性能/可靠性管理基础设施,充分利用这种技术的优势,为树立物联网和汽车行业的未来性能标准铺平道路。

关于作者

Manuel Sellier

Manuel Sellier是Soitec的产品营销经理,负责为全耗尽绝缘体上硅(FD-SOI)、硅光子绝缘体上硅(photonics-SOI)、成像器绝缘体上硅(imager-SOI)产品系列制定商业计划、营销战略和设计规范。在加入Soitec之前,他曾经供职于STMicroelectronics,最初担任数字设计人员,职责范围涵盖面向高性能应用处理器的先进核签解决方案。他获得了高级金属氧化物半导体晶体管(FD-SOI和鳍片场效应晶体管)的建模和电路仿真专业的博士学位。他还持有多个工程领域的数项专利,并在行业刊物和国际会议上发表过大量论文。

Differentiated Silicon Starts with Differentiated Substrates

By: Manuel Sellier

There is a consensus that “bleeding edge” technologies, i.e. the continuation of Moore’s law whatever the cost of the technology, is bringing less and less return on investment for most players in the semiconductor industry. In this context there is a critical need for more innovations beyond traditional CMOS scaling. There are many opportunities for innovation in the value chain from semiconductor materials and devices to services, but the simplest one starts with substrates.

Figure 1: Semiconductor value chain from substrate to services.

RF SOI and FD-SOI are great examples of how the industry is pushing differentiation with substrates to develop new standards for RF communication and low power computing. GLOBALFOUNDRIES has been a successful pioneer in this strategy. First, RF SOI has become the de-facto technology for a large number of components of the Front End Module (FEM) in cellular phones. From almost nothing 10 years ago, today the total market for RF SOI is around 1.5 million wafers (8 inch equivalent). Second, FD-SOI is now the technology of choice for mmWave RF-CMOS connectivity and battery powered devices requiring a very high level of energy efficiency. We will review, in this post, how Soitec is supporting GF with outstanding RF SOI substrate solutions.

How SOITEC supports GF with differentiated RF SOI technology

5G will rapidly change the way people and objects around the world communicate; GF and Soitec are supporting this change providing innovative technologies that support the evolution towards 5G and its coexistence with other existent and future standards.

Different communicating devices (vehicles, smartphones, “things”) RF Front Ends require differentiated technologies that could offer the right cost/performance trade-off facilitating their introduction and adoption. Soitec offers two families of RF SOI substrates: HR-SOI using a high resistivity base substrate and RF Enhanced Signal Integrity TM (RFeSI) SOI which adds a trap rich layer on top of the high resistivity base helping deliver on stringent linearity requirements – both of which are compatible with standard CMOS processes and foundries.

These two families of substrates are available in 200 and 300 mm diameters and offer different advantages in terms of linearity, insertion loss, isolation, noise figure and other key specifications and therefore can be used to design and manufacture different blocks and functions in the RF Front End. The examples here below are given as reference only as integration strategy differs largely among different RF Front End solutions providers.

  • Antenna tuners, which require very high linearity are typically implemented on RFeSI substrates
  • Receiver/ Transmitter switches requiring good linearity, low insertion loss, high isolation and high integration level can be manufactured on HR-SOI and/or RFeSI substrates
  • Low noise amplifiers (LNA) on the receive path typically implemented in technology nodes below 90nmare commonly manufactured on 300 mm HR SOI wafers and if integrated with switches and other supporting blocks in 300 mm RFeSI ones.
  • Power amplifiers could be fully integrated in 300 mm RFeSi substrates with switches and LNAs for connectivity, IoT and 3G/early 4G cellular applications

Thanks to a long-term strategic partnership GF and Soitec have been timely delivering products tailored to address the needs of a very demanding RF Front End market in continuous evolution.  This partnership extends in many fields including engineering and manufacturing, securing state of the art performance in high volume production.

Soitec is integrated into GF’s roadmap thanks to a shared vision of the market evolution. In the most recent example, GF’s next generation mobile and 5G RF Front End 8SW technology was designed to fully exploit the benefits offered by Soitec’s products.

In a semiconductor world where everybody is looking for differentiation, RF SOI and FD-SOI represent unique platforms delivering major advantages. RF SOI value is now fully recognized. It has been adopted by most of the players in the cellular FEM business. It will see continued growth with the increased complexity of radios at 4 and 5G. Soitec is committed to serve this industry with the right level of capacity and quality.

In our next post we will review how Soitec is supporting GF with outstanding FD-SOI substrate solutions.

About Author

Manuel Sellier

Manuel Sellier

Manuel Sellier is Soitec’s product marketing manager, responsible for defining the business plans, marketing strategies, and design specifications for the fully depleted silicon-on-insulator (FD-SOI), photonics-SOI, and imager-SOI product lines. Before joining Soitec, he worked for STMicroelectronics, initially as a digital designer covering advanced signoff solutions for high-performance application processors. He earned his Ph.D. degree in the modeling and circuit simulation of advanced metal–oxide–semiconductor transistors (FD-SOI and fin field-effect transistors). He holds several patents in various fields of engineering and has published a wide variety of papers in journals and at international conferences.

 

差异化芯片始于差异化衬底

作者: Manuel Sellier

我们形成了一种共识:对于半导体行业大多数厂商而言,“尖端”技术(无论技术成本如何,都持续追求摩尔定律)带来的投资回报越来越少。在这种情况下,我们迫切需要除传统CMOS扩展之外的更多创新。在从半导体材料和器件到服务的价值链上,我们有很多创新机会,但最简单的创新是从衬底着手。

图1:从衬底到服务的半导体价值链。

RF SOI和FD-SOI是半导体行业如何通过衬底推动差异化的典范,以制定射频通信和低功耗计算的新标准。在这个战略上,格芯始终都是成功的开拓者。首先,对于蜂窝手机中前端模块(FEM)的大量组件而言,RF SOI已经成为事实上的标准技术。从10年前几乎一片空白起步,RF SOI整个市场目前已经发展到大约150万片晶圆(折算成8英寸当量)。第二,FD-SOI现在成为mmWave RF-CMOS连接和电池供电设备的首选技术,这些应用需要很高的能效。在这篇文章中,我们将了解Soitec如何利用出色的RF SOI衬底解决方案为格芯提供支持。

Soitec如何利用差异化RF SOI技术为格芯提供支持

5G将很快改变全球人和物体之间的通信方式;格芯和Soitec致力于提供创新技术,支持向5G的演进,以及5G与现有和未来标准的共存,从而推动这场变革。

不同通信设备(汽车、智能手机、“物品”)的射频前端需要差异化技术,这些技术要能够在成本和性能实现恰当的平衡,从而促进它们的引入和采用。Soitec提供两个系列的RF SOI衬底:HR-SOI使用高电阻率基底和RF Enhanced Signal IntegrityTM (RFeSI) SOI,它在高电阻率基底的顶部添加了一个含有大量阱的层,帮助满足严格的线性度要求,这两种技术都与标准CMOS工艺和晶圆厂兼容。

这两个系列的衬底的直径为200和300 mm,在线性度、插入损耗、隔离、噪声系数和其他关键规格上具备不同的优势,因而可用于设计和制造射频前端中的不同模块和功能。下面我们提供一些示例作为参考,说明不同射频前端解决方案供应商的集成策略存在很大差别。

  • 需要很高线性度的天线调谐器通常在RFeSI衬底上实现
  • 需要良好线性度、低插入损耗、高隔离、高集成度的接收器/发射器开关可在HR-SOI和/或RFeSI衬底上制造
  • 接收路径上通常在小于90nm的技术节点中实现的低噪声放大器(LNA)一般在300 mm HR SOI晶圆上制造,如果它们与开关和300 mm RFeSI衬底中的其他支持模块集成,也同样可在该晶圆上制造。
  • 功率放大器可在300 mm RFeSi衬底中与开关和LNA完全集成,用于连接、物联网和3G/早期4G手机应用

依托双方的长期战略合作伙伴关系,格芯和Soitec一直在及时提供量身定制的产品,以满足处于持续演进中、要求非常苛刻的射频前端市场的需求。这种合作关系在工程和制造等众多领域中得以延伸,从而确保我们在高量产中保持领先的性能。

Soitec与格芯的路线图融合,这要归功于我们共同的市场发展愿景。举例来说,我们最近设计了格芯下一代移动和5G RF前端8SW技术,旨在充分利用Soitec产品提供的优势。

在半导体行业,每家公司都在寻求差异化,RF SOI和FD-SOI都代表了独特的平台,提供巨大优势。RF SOI的价值目前得到了充分认可。它现在已经被手机前端模块业务领域的大多数厂商采用。随着通信行业从4G向5G演进,无线电复杂性日益提高,它将得到持续发展。Soitec致力于为行业提供适当的产能和质量。

在下一篇文章中,我们将了解Soitec如何通过提供出色的FD-SOI衬底解决方案,为格芯提供支持。

关于作者

Manuel Sellier

Manuel Sellier是Soitec的产品营销经理,负责为全耗尽绝缘体上硅(FD-SOI)、硅光子绝缘体上硅(photonics-SOI)、成像器绝缘体上硅(imager-SOI)产品系列制定商业计划、营销战略和设计规范。在加入Soitec之前,他曾经供职于STMicroelectronics,最初担任数字设计人员,职责范围涵盖面向高性能应用处理器的先进核签解决方案。他获得了高级金属氧化物半导体晶体管(FD-SOI和鳍片场效应晶体管)的建模和电路仿真专业的博士学位。他还持有多个工程领域的数项专利,并在行业刊物和国际会议上发表过大量论文。

Power Regulation IPs now Silicon Proven on GLOBALFOUNDRIES 22FDX® Technology Platform

Dolphin Integration today announced the qualification of the first wave of Power Management IPs on GLOBALFOUNDRIES 22nm FD-SOI (22FDX®) process technology. This consistent offering will help in accelerating and securing the cost-effective design of energy-efficient SoCs.