GLOBALFOUNDRIES stellt 12LP+ FinFET-Lösung für Cloud- und Edge-KI-Anwendungen vor

Innovative Lösung auf Basis der fortschrittlichsten FinFET-Plattform von GF bietet klassenbeste Leistung, wichtige neue Funktionen für die sich entwickelnden KI-Anforderungen, überzeugende Wirtschaftlichkeit und branchenführende physikalische IP von Arm

Santa Clara, Kalifornien, 24. September 2019 - GLOBALFOUNDRIES (GF), das weltweit führende Spezialunternehmen foundry, gab heute auf seiner Global Technology Conference die Verfügbarkeit von 12LP+ bekannt, einer innovativen neuen Lösung für KI-Trainings- und Inferenzanwendungen. 12LP+ bietet Chipdesignern eine klassenbeste Kombination aus Leistung, Stromverbrauch und Fläche sowie eine Reihe wichtiger neuer Funktionen, ein ausgereiftes Design- und Produktions-Ökosystem, kosteneffiziente Entwicklung und schnelle Markteinführung für wachstumsstarke Cloud- und Edge-KI-Anwendungen.

Die neue 12LP+-Plattform, die von der bestehenden 12nm Leading Performance (12LP)-Plattform von GF abgeleitet ist, bietet entweder eine 20-prozentige Leistungssteigerung oder eine 40-prozentige Senkung des Stromverbrauchs im Vergleich zur 12LP-Basisplattform sowie eine 15-prozentige Verbesserung bei der Skalierung der Logikfläche. Ein wesentliches Merkmal ist eine schnelle, stromsparende 0,5-V-SRAM-Bit-Zelle, die den schnellen, stromsparenden Datenaustausch zwischen Prozessoren und Speicher unterstützt - eine wichtige Voraussetzung für KI-Anwendungen in den Bereichen Computer und kabelgebundene Infrastruktur.

Weitere Schlüsselfunktionen von 12LP+, die es den Kunden ermöglichen, die Chancen des KI-Marktes zu nutzen, sind ein Design-Referenzpaket für KI-Anwendungen und Design-/Technologie-Co-Development-Services (DTCO), die es den Kunden ermöglichen, KI-Schaltungsdesigns aus einer ganzheitlichen Perspektive zu betrachten, um niedrigere Energiebudgets und geringere Kosten zu erzielen. Ein weiteres wichtiges Merkmal ist ein neuer Interposer für 2,5D-Gehäuse, der die Integration von Speicher mit hoher Bandbreite in Prozessoren für eine schnelle, energieeffiziente Datenverarbeitung erleichtert. 

Die 12LP+ Lösung nutzt sowohl Arm® Artisan® physical IP als auch POP™ IP für KI-Anwendungen, die von Arm für GF entwickelt wurden. Beide Lösungen von Arm werden auch auf die ursprüngliche 12LP-Plattform von GF angewendet. 

"KI, Automotive und High-End-Mobilität sind nur einige der wachsenden Anwendungen, die eine dringende Nachfrage nach Hochleistungs-SoCs erzeugen", sagte Gus Yeung, General Manager und Fellow, Physical Design Group, Arm. "Unterstützt durch die weit verbreitete physikalische IP von Arm Artisan und fortschrittliche Prozessordesigns wird GLOBALFOUNDRIES' 12LP+ den Entwicklern helfen, Produkte zu entwickeln, die diese Nachfrage einfach, schnell und kosteneffizient befriedigen."

"Die Einführung von 12LP+ ist das Ergebnis der Strategie von GF, seinen Kunden differenzierte Lösungen anzubieten, die eine Skalierung der Designs ohne Unterbrechung der Arbeitsabläufe ermöglichen und im Vergleich zu Alternativen sehr kosteneffizient sind", so Michael Mendicino, Vice President Digital Technology Solutions bei GF. "Als fortschrittliche 12-nm-Technologie bietet unsere 12LP+-Lösung den Kunden bereits einen Großteil der Leistungs- und Stromverbrauchsvorteile, die sie von einem 7-nm-Prozess erwarten würden, wobei die NRE-Kosten (Non-Recurring Engineering) im Durchschnitt nur etwa halb so hoch sind, was eine erhebliche Einsparung bedeutet. Da der 12-nm-Knoten außerdem schon länger läuft und viel ausgereifter ist, können die Kunden schnell auf den Markt gehen und von der wachsenden Nachfrage nach KI-Technologie profitieren. 

Das 12LP+ PDK ist ab sofort verfügbar und GF arbeitet bereits mit mehreren Kunden zusammen. Die ersten Bänder werden in der zweiten Jahreshälfte 2020 erwartet und die Serienproduktion ist für 2021 in der Fab 8 von GF in Malta, New York, geplant.

Über GF

GLOBALFOUNDRIES (GF) ist das weltweit führende Spezialunternehmen foundry. Wir liefern differenzierte, funktionsreiche Lösungen, die es unseren Kunden ermöglichen, innovative Produkte für wachstumsstarke Marktsegmente zu entwickeln. GF bietet eine breite Palette von Plattformen und Funktionen mit einer einzigartigen Mischung aus Design-, Entwicklungs- und Fertigungsdienstleistungen. Mit einer Produktionsbasis, die sich über die USA, Europa und Asien erstreckt, verfügt GF über die nötige Flexibilität und Agilität, um die dynamischen Anforderungen von Kunden auf der ganzen Welt zu erfüllen. GF ist im Besitz der Mubadala Investment Company. Weitere Informationen finden Sie unter globalfoundries.com.

Kontakt:

Erica McGill
GLOBALFOUNDRIES
(518) 795-5240
[email protected]

Analog Bits und Aragio Solutions arbeiten mit GLOBALFOUNDRIES zusammen, um IP-Lösungen für die Automobilindustrie anzubieten

IP-Anbieter stärken ihre Fähigkeit, den Bedarf ihrer Kunden an IP für die Automobilindustrie auf der 22FDX®-Plattform von GLOBALFOUNDRIES zu decken

Santa Clara, Kalifornien, 24. September 2019 - GLOBALFOUNDRIES (GF), Analog Bits und Aragio Solutions (Aragio) gaben heute auf der jährlichen Global Technology Conference (GTC) von GF ihre Zusammenarbeit bei der Entwicklung eines Portfolios von I/O-Bibliotheken auf der 22nm FD-SOI (22FDX®)-Plattform von GF bekannt. Das Portfolio ist darauf ausgelegt, die Energieeffizienz und Zuverlässigkeit zu maximieren und gleichzeitig differenzierte IP-Lösungen zu schaffen, die den unterschiedlichen Standards von Automobilanwendungen gerecht werden. Diese jüngste Zusammenarbeit erweitert das Ökosystem der Zulieferer für die 22FDX-Plattform von GF, wobei Synopsys der erste IP-Partner ist , der die Entwicklung seiner DesignWare®-IP für Automotive-Anwendungen auf diesem Prozess ankündigt.  

Analog Bits und Aragio werden ein Portfolio von Automotive Foundation, Analog und Interface IP für den 22FDX-Prozess von GF entwickeln. Es wird erwartet, dass die Hinzunahme der Silizium-erprobten Low-Leakage-Bibliotheken von Aragio und der programmierbaren Interconnect-Lösungen von Analog Bits auf dem 22FDX-Prozess von GF den Design-Aufwand weiter reduzieren und die AEC-Q100-Qualifizierung von System-on-Chips (SoCs) für Automotive-Anwendungen wie Advanced Driver Assistance Systems (ADAS) und Infotainment beschleunigen wird.

"Die branchenführende PLL- und Sensortechnologie von Analog Bits in Kombination mit ausgefeilten Schaltungstechniken und innovativem I/O-Design bietet flächen- und stromsparende IP-Lösungen, die Kunden problemlos in ihre SoC-Designs integrieren können", so Mahesh Tirupattur, Executive Vice President bei Analog Bits. "Unsere enge Zusammenarbeit mit GF gibt uns die Möglichkeit, unseren gemeinsamen Kunden zu helfen, eine einzigartige Low-Power-Fähigkeit mit dem bestmöglichen PPA für anspruchsvolle Anwendungen wie Automotive zu liefern."

"Die fortschrittliche 22FDX-Plattform von GF gibt unseren IP-Schaltkreisdesignern die nötige Flexibilität, um in Bezug auf Geschwindigkeit, Stromverbrauch, geringe Leckage, Funktionalität und E/A-Größe auf dem neuesten Stand der Technik zu sein", so Glen Haas, Chief Technologist von Aragio Solutions. "GF bietet eine Reihe von Lösungen an, mit denen Aragio alle weltweiten Marktsegmente abdecken kann, von Automotive G1 und G2 bis hin zu batteriebetriebenen IoT-Anwendungen. Der flexible Reverse Body Bias gibt unseren Designern ein weiteres Werkzeug an die Hand, um unseren Kunden einzigartige Lösungen zu bieten." 

"Die Kunden erweitern ihr Produktportfolio und suchen nach Konnektivitätslösungen, die den wachsenden Anforderungen von stromsensiblen Anwendungen in schnell wachsenden Märkten gerecht werden", so Mark Ireland, Vice President of Ecosystem Partnerships bei GF. "Unsere Zusammenarbeit mit Synopsys, Analog Bits und Aragio wird uns helfen, ein starkes IP-Portfolio mit differenzierten Stromversorgungs-, Leistungs- und Zuverlässigkeitsdesigns zu liefern und gleichzeitig die Markteinführungszeit unserer Kunden für Automotive-SoCs auf 22FDX zu verkürzen."

Der 22FDX von GF bietet eine schnelle Lösung für die Produktentwicklung mit siliziumqualifizierter IP. Die Plattform wird auf der 300-mm-Linie von GF in Fab 1 in Dresden in Serie gefertigt.

Design-Kits mit diesen zusätzlichen Funktionen werden auf dem 22FDX von GF ab dem vierten Quartal 2019 verfügbar sein. Weitere Informationen zu den Automotive-Lösungen von GF finden Sie unter globalfoundries.com.

Über Analog Bits

Analog Bits, Inc. wurde 1995 gegründet und ist ein führender Anbieter von Mixed-Signal-IP, der für seine einfache und zuverlässige Integration in fortschrittliche SOCs bekannt ist. Zu unseren Produkten gehören Präzisionstaktmakros wie PLLs, Sensoren, programmierbare Verbindungslösungen wie Multiprotokoll-SERDES und programmierbare E/As sowie spezialisierte Speicher wie Hochgeschwindigkeits-SRAMs und TCAMs. Mit Milliarden von IP-Cores, die in Kunden-Silizium von 0,35-Mikron- bis 7-nm-Prozessen gefertigt wurden, verfügt Analog Bits über eine herausragende Erfahrung in der Zusammenarbeit mit Foundries und IDMs.

Über Aragio Solutions

Aragio ist ein branchenführender Anbieter von E/A-Bibliothekslösungen mit Schwerpunkt auf robuster ESD- und LU-Immunität. Unsere E/A-Bibliothekslösungen ermöglichen einen zuverlässigen Hochleistungsbetrieb. Wir bieten einheitliche I/O-Pad-Sets für eine breite Palette von CMOS-Prozesstechnologien und -Anwendungen. Unsere I/O-Bibliothekslösungen zeichnen sich durch einzigartige Makrozellendesigns sowie Allzweck- und Spezial-I/O-Bibliotheken aus. 

Aragio Solutions ist der eingetragene Markenname von Solid Silicon Technology, LLC. 

Wenn Sie mehr über das Unternehmen erfahren möchten, besuchen Sie bitte: www.aragio.com

Über GF

GLOBALFOUNDRIES (GF) ist das weltweit führende Spezialunternehmen foundry. Wir liefern differenzierte, funktionsreiche Lösungen, die es unseren Kunden ermöglichen, innovative Produkte für wachstumsstarke Marktsegmente zu entwickeln. GF bietet eine breite Palette von Plattformen und Funktionen mit einer einzigartigen Mischung aus Design-, Entwicklungs- und Fertigungsdienstleistungen. Mit einer Produktionsbasis, die sich über die USA, Europa und Asien erstreckt, verfügt GF über die nötige Flexibilität und Agilität, um die dynamischen Anforderungen von Kunden auf der ganzen Welt zu erfüllen. GF ist im Besitz der Mubadala Investment Company. Weitere Informationen finden Sie unter globalfoundries.com.

Kontakt:

Erica McGill
GLOBALFOUNDRIES
(518) 795-5240
[email protected]

GLOBALFOUNDRIES erzielt mehr als 1 Milliarde Dollar an Designgewinnen für 45RFSOI-Lösung für mobile und drahtlose Infrastrukturanwendungen

Verbesserte Transistorleistung, größere RF-zentrierte Möglichkeiten und umfassende schlüsselfertige Test-/Verpackungsdienste bieten eine wirklich differenzierte Lösung, die von mehr als 20 Kunden für 5G/mmWave-Anwendungen eingesetzt wird

Santa Clara, Kalifornien, 24. September 2019 - GLOBALFOUNDRIES (GF), das weltweit führende Spezialunternehmen foundry, gab heute auf seiner jährlichen Global Technology Conference (GTC) bekannt, dass seit der Markteinführung im Jahr 2017 mehr als 20 Kunden mit einem Umsatz von mehr als 1 Milliarde US-Dollar mit der verbesserten 45RFSOI-Lösung von GF für mobile und drahtlose 5G/mmWave-Infrastrukturanwendungen arbeiten.

Zu den Verbesserungen der 45RFSOI-Lösung von GF gehören zwei neue Funktionen, die die Vorteile der SOI-Technologie ergänzen und eine optimierte, unvergleichliche HF-Leistung ermöglichen:

  • Ein verbesserter Leistungsverstärker (PA) in Form eines Feldeffekttransistors (FET) bietet eine 2-fach höhere PA-Ausgangsleistung, was zu einer geringeren Anzahl von Phased-Array-Antennenelementen führt, die zum Erreichen der effektiven isotropen Strahlungsleistung (EIRP) des Systems erforderlich sind. Die verbesserte PA-FET-Funktion ermöglicht es Anwendern außerdem, den PA, den rauscharmen Verstärker (LNA) und die Phasenschieber für eine 5G-Beamformer-Anwendung auf einem einzigen Chip zu integrieren und dabei dieselbe Technologie zu verwenden. Das Ergebnis ist ein kompakteres Design mit geringeren Kosten, die sich aus einer geringeren Anzahl von Komponenten und einem geringeren Platzbedarf auf der Leiterplatte für 5GmmWave-Anwendungen im Bereich Fixed Wireless und Mobility ergeben. Ein kompaktes Design in Verbindung mit Kosteneffizienz ist eine extrem wichtige Voraussetzung für schlanke und elegante Smartphone-Designs.
  • Ein höheres Maß an IP- und RF-zentriertem Enablement, einschließlich des Virtuoso RelXpert Reliability Simulators, einem Simulationswerkzeug von Cadence Design Systems, Inc. mit dem potenzielle FET-Bauteildegradationen über eine benutzerdefinierte Reihe von Anwendungsfällen analysiert werden können, um sicherzustellen, dass die spezifizierten Leistungs- und Zuverlässigkeitsziele für diese kritischen Anwendungen erfüllt werden. Das Ergebnis sind weniger Design-Zyklen, was die Entwicklungskosten senkt und eine schnellere Markteinführung ermöglicht, sowie eine höhere Zuverlässigkeit der Bauteile. Die 45RFSOI-PDK-Modelle von GF sind jetzt für dieses Tool qualifiziert.

"Die Einhaltung enger Design-Zeitpläne bei gleichzeitiger Senkung der Entwicklungskosten ist keine leichte Aufgabe, wenn es um die äußerst komplexen 5G/mmWave-Anforderungen geht", so Wilbur Luo, Vice President, Product Management in der Custom IC & PCB Group bei Cadence Design Systems, Inc. "Wir verfolgen einen ganzheitlichen Ansatz für das Design integrierter Schaltungen, der ein exzellentes SoC-Design ermöglicht, und wir konzentrieren uns sehr darauf, differenzierte Lösungen anzubieten, von denen unsere Kunden wirklich profitieren. Cadence bietet den Virtuoso RelXpert Reliability Simulator, der von GF für die Entwicklung von Zuverlässigkeitsmodellen verwendet wird, und Spectre Native Reliability, das für das Schaltungsdesign und die Verifikation zur Zuverlässigkeitsanalyse eingesetzt wird. Beide Tools sind nun eng mit dem PDK für die 45RFSOI-Lösung von GF integriert."

GF verfügt über eine langjährige Erfahrung in der Entwicklung und Implementierung von Hochfrequenz-HF-Wafer- und Modultests. Diese Erfahrung, zusammen mit der branchenweit differenziertesten RF-Technologieplattform, die fortschrittliche und etablierte Technologieknoten umfasst, hilft Kunden bei der Entwicklung von 5G-Konnektivitätslösungen für Produkte der nächsten Generation. Mit den schlüsselfertigen Test- und Packaging-Services von GF können beispielsweise genaue Phasenmessungen zwischen Antennenanschlüssen für Phased-Array-Multi-RF-Kanaldesigns mit 16, 32, 64 oder mehr Antennenelementen durchgeführt werden, so dass Kunden die für 5G-Mobilfunksysteme mit hoher Bandbreite erforderliche Funkzugangsinfrastruktur schnell hochfahren und einsetzen können.

Darüber hinaus bietet GF seinen Kunden in Zusammenarbeit mit führenden ausgelagerten Montage- und Testunternehmen (OSAT) umfassende Dienstleistungen in den Bereichen Gehäusedesign, thermische und elektrische Modellierung an.

"Wir bei GF setzen uns weiterhin dafür ein, differenzierte RF-Plattformen, Funktionen und Lösungen anzubieten, die es unseren Kunden ermöglichen, innovative Produkte für wachstumsstarke Wireless-Anwendungen zu entwickeln", so Dr. Bami Bastani, Senior Vice President und General Manager der SBU Mobile and Wireless Infrastructure bei GF. "Die zahlreichen Design-Wins, die wir für unsere verbesserte 45RFSOI-Lösung bereits in einer frühen Phase ihres Lebenszyklus erhalten haben, spiegeln den hohen Stellenwert wider, den unsere Kunden unseren RF-Lösungen beimessen."

Über GF

GLOBALFOUNDRIES (GF) ist das weltweit führende Spezialunternehmen foundry. Wir liefern differenzierte, funktionsreiche Lösungen, die es unseren Kunden ermöglichen, innovative Produkte für wachstumsstarke Marktsegmente zu entwickeln. GF bietet eine breite Palette von Plattformen und Funktionen mit einer einzigartigen Mischung aus Design-, Entwicklungs- und Fertigungsdienstleistungen. Mit einer Produktionsbasis, die sich über die USA, Europa und Asien erstreckt, verfügt GF über die nötige Flexibilität und Agilität, um die dynamischen Anforderungen von Kunden auf der ganzen Welt zu erfüllen. GF ist im Besitz der Mubadala Investment Company. Weitere Informationen finden Sie unter globalfoundries.com.

Kontakt:

Erica McGill
GLOBALFOUNDRIES
(518) 795-5240
[email protected]

GLOBALFOUNDRIES ernennt Michael Hogan zum SVP und General Manager zur Unterstützung der Strategie für neues Marktengagement

Neue Geschäftsbereiche und die Besetzung von Führungspositionen positionieren das Unternehmen weiter für langfristiges Wachstum und Wertschöpfung

Santa Clara, Kalifornien, 24. September 2019 - GLOBALFOUNDRIES (GF) hat heute auf seiner Global Technology Conference (GTC) im Silicon Valley die Ernennung von Michael Hogan zum Senior Vice President und General Manager der neu gegründeten strategischen Geschäftseinheit (SGE) Automotive, Industrial and Multi-Market (AIM) bekannt gegeben und damit sein Engagement für die Bereitstellung von Speziallösungen für bestimmte Marktsegmente bekräftigt. Hogan wird für die Marktstrategie, die Definition der Roadmap von GF für differenzierte Funktionen und die daraus resultierende globale Expansion der SGE AIM verantwortlich sein.

Hogan verfügt über 30 Jahre Erfahrung im Bereich der Halbleitertechnologie und hat bereits erfolgreich führende Unternehmen, darunter Cypress Semiconductor und Broadcom, als General Manager und Senior Vice President geleitet. Zuletzt war Hogan Senior Vice President und General Manager des Geschäftsbereichs IoT, Compute & Wireless bei Cypress Semiconductor, wo er die Strategie für das größte und am schnellsten wachsende Geschäft des Unternehmens entwickelte.

"Durch die Ausrichtung unserer Führungsstruktur auf das Kundenerlebnis werden wir die vielfältigen Talente und Marktkenntnisse unseres Teams nutzen, um unsere Go-to-Market-Strategie zu verändern und spezialisierte Anwendungslösungen zu liefern, die unseren Kunden einen echten Mehrwert bieten", so Thomas Caulfield, CEO von GF. "Die Verstärkung durch Mike Hogan kommt zu einem Zeitpunkt, an dem GF für ein starkes Wachstum positioniert ist und erfahrene Führungskräfte benötigt, um unsere Fähigkeiten weiter zu verbessern und zu erweitern. Mikes umfangreiches Wissen im Halbleiterbereich, insbesondere in den Bereichen Automobil und drahtlose Konnektivität, sowie seine nachweisliche Erfolgsbilanz werden einen großen Wert darstellen, der das Wachstum heute und in Zukunft vorantreiben wird."

In Verbindung mit der Ernennung von Hogan hat GF spezielle strategische Geschäftseinheiten für die drei Kernmarktgruppen Automotive, Industrial und Multi-Market (AIM), Mobile und Wireless Infrastructure (MWI) sowie Computing und Wired Infrastructure (CWI) eingerichtet, um den Marktanteil im großen und wachsenden Markt von 47 Milliarden US-Dollar für 12-nm-Technologien und darüber zu erhöhen ( foundry ). Hogan wird eng mit Bami Bastani zusammenarbeiten, der zum Senior Vice President und General Manager der SGE MWI ernannt wurde, sowie mit Mike Mendicino, der zum Interim Vice President für die SGE CWI ernannt wurde.

Diese neuen strategischen Geschäftseinheiten und die Ernennung von Führungskräften positionieren das Unternehmen für eine größere Skalierbarkeit und ein größeres Wachstum und bauen auf der Strategie auf, die 2018 mit dem Pivot des Unternehmens begann und in diesem Jahr mit den Transformationstransaktionen fortgesetzt wird.

Über GF

GLOBALFOUNDRIES (GF) ist das weltweit führende Spezialunternehmen foundry. Wir liefern differenzierte, funktionsreiche Lösungen, die es unseren Kunden ermöglichen, innovative Produkte für wachstumsstarke Marktsegmente zu entwickeln. GF bietet eine breite Palette von Plattformen und Funktionen mit einer einzigartigen Mischung aus Design-, Entwicklungs- und Fertigungsdienstleistungen. Mit einer Produktionsbasis, die sich über die USA, Europa und Asien erstreckt, verfügt GF über die nötige Flexibilität und Agilität, um die dynamischen Anforderungen von Kunden auf der ganzen Welt zu erfüllen. GF ist im Besitz der Mubadala Investment Company. Weitere Informationen finden Sie unter globalfoundries.com.

Kontakt:

Erica McGill
GLOBALFOUNDRIES
(518) 795-5240
[email protected]

格芯任命Michael Hogan为高级副总裁兼总经理,以支持新的市场深耕策略

新的业务部门和领导层的任命将进一步推动公司的长期增长和价值创造

加利福尼亚州圣克拉拉,2019年9月24日 — 为加强其向目标市场提供专门解决方案的承诺,格芯今日在硅谷举办的2019格芯全球技术大会(GTC)上宣布,任命Michael Hogan为格芯新成立的汽车、工业和多市场(AIM)战略业务部门的高级副总裁兼总经理。Hogan将负责推动格芯市场战略,规划格芯的差异化功能路线图,以及汽车、工业和多市场(AIM)战略业务部门的全球扩张。

格芯汽车、工业和多重市场战略业务部门高级副总裁兼总经理Michael Hogan

Hogan拥有30年的半导体技术经验,曾成功领导过包括赛普拉斯半导体(Cypress Semiconductor)和博通(Broadcom)在内的业内领先公司。加入格芯之前,Hogan是赛普拉斯半导体物联网、计算和无线业务部门的高级副总裁兼总经理,他在该部门为公司最大、增长最快的业务制定了战略。

“通过围绕客户体验来调整领导结构,格芯的多样化人才和市场洞察力将被用来转变我们的市场战略,并为客户提供差异化、功能丰富的解决方案。”格芯首席执行官汤姆·嘉菲尔德(Thomas Coulfield)表示,“Michael Hogan的加入正值格芯位于强劲增长之际,我们需要经验丰富的领导者进一步提升和扩展我们的能力。Michael在半导体领域,尤其是在汽车和无线连接领域的丰富知识以及成功经验,将带来巨大的价值,推动格芯今天和未来的发展。”

在Hogan就任的同时,格芯围绕三大核心市场(汽车、工业及多市场(AIM)、移动与无线基础设施(MWI)以及计算与有线基础设施(CWI))成立了专门的战略业务部门,为在规模庞大且不断增长的12nm及以上芯片的代工市场中扩大市场份额。Hogan将与被任命为格芯移动与无线基础设施战略业务部门高级副总裁兼总经理的Bami Bastani以及被任命为格芯计算与有线基础设施战略业务部门代理副总裁的Mike Mendicino进行密切合作。

格芯新成立的战略业务部门及新领导层的任命,是基于自2018年开始的战略转型,并延续至今以实现更大的可扩展性和增长能力。

关于格芯:

格芯是全球领先的特殊工艺半导体代工厂,提供差异化、功能丰富的解决方案,赋能我们的客户为高增长的市场领域开发创新产品。格芯拥有广泛的工艺平台及特性,并提供独特的融合设计、开发和生产为一体的服务。格芯拥有遍布美洲、亚洲和欧洲的规模生产足迹,以其灵活性与应变力满足全球客户的动态需求。格芯为阿布扎比穆巴达拉投资公司(Mubadala Investment Company)所有。欲了解更多信息,请访问 https://www.globalfoundries.com/cn

媒体垂询:

杨颖(Jessie Yang)
(021) 8029 6826
[email protected]

邢芳洁(Jay Xing)
86 18801624170
[email protected]

战略转变增强IP合作伙伴关系

  • 作者: Dave Lammers

当记者对半导体公司进行比较时,我们通常会深入探究栅极长度、掩膜层、SRAM单元尺寸以及其他一些面向硬件的指标。只有在经历过一段职业生涯后,我才开始认识到,IP和其他形式的设计支持对晶圆厂和集成器件制造商(IDM)取得成功也同样重要。

当格芯在2018年8月底宣布实施“战略转型”时,公众的很多注意力再次转向晶体管的角色,以及资源如何重新部署到7nm逻辑芯片之外的技术领域。在摩尔定律预测的高增长速度逐渐减缓的时代,格芯将这些资源分散到格芯提供的18种不同技术(及其衍生品)上,此举得到了很多人的理解。

必须更多强调一点,更新的IP在某种程度上是通过战略转型来实现的。

格芯生态系统合作伙伴关系副总裁Mark Ireland指出,12LP (FinFET)工艺就是重新部署IP资源的很好例子。在初始阶段,格芯的12LP工艺主要用于CPU、GPU和类似的高性能产品。现在,12LP正在进入一系列更广泛的市场,包括消费型产品、网络、5G无线、人工智能-机器学习(AI-ML)。这些应用通常需要不同的IP,特别是多协议SERDES、低功耗存储器、高速存储器接口。

“在消费型产品中,数字视频和机顶盒正在向FinFET迁移。消费型产品不是12LP节点的引领者,但现在却在向FinFET迁移。Ireland表示:“我们看到了更加广泛的市场和客户群体,这一点必须在我们注重的IP合作中体现出来。”

他表示,人工智能SoC也需要更多的IP,包括高速SERDES和低功耗存储器。

适用于5G基站的高速SERDES

同样,5G无线标准“扩大了引入一些将用于5G基站和其他用途的SERDES IP的需求。”他指出:“我们的客户需要这种类型的IP,才能在这些市场上取得成功。”他还指出,无线客户可以选择22FDX全耗尽式绝缘体上硅、12LP FinFET或其他工艺,这要取决于他们的应用需求。

格芯和Rambus宣布推出适用于22FDX工艺的28-Gbps和32-Gbps SERDES,就在设计自动化大会之前,格芯和Synopsys表示双方正在准备开发采用12LP工艺的25-Gbps SERDES。Ireland说:“这种IP具有更广泛的市场应用,对于5G基站至关重要。”

另外,格芯与Analog Bits近期达成协议,将Analog Bits的模拟和混合信号IP设计套件引入12LP技术,包括低功耗锁相环(PLL)和扩频时钟生成(SSCG),以及工艺、电压、温度(PVT)传感器IP等。

Ireland表示:“我们正在与更广泛的市场建立更深入的合作伙伴关系,从而满足他们对更多IP的需求。我们正在推动这一进程,这其中不缺少机会。格芯目前面临的挑战是及时获取最高质量的IP。”

每个芯片上的射频模块

格芯的客户解决方案副总裁Subi Kengeri表示,他们有更多IC设计团队正在使用FD-SOI或传统异构集成方法,开发复杂的设计来处理射频和混合信号,而并不简单依赖于粗放的扩展。对于复杂的射频和模拟SoC,Kengeri指出:“IP将成为实现SoC产品技术差异化的载体。设计人员要通过这种方式挖掘技术的差异化价值。因此,IP必须经过完全优化,具备最高的质量,这一点非常重要。”

格芯在射频技术领域有着出色的过往业绩,并且不断在射频技术领域投入巨资,这也是战略转变后策略的一部分。“通信现在变得前所未有的重要,每个芯片上都将有一个射频模块。射频非常复杂,整个行业掌握的这方面技能也比较有限。我们是射频领域的领跑者,并且在射频IP、设计服务和射频参考模块方面进行了投资,因而我们处于非常有利的地位,能够帮助客户加快产品上市、降低成本和减少风险。提到射频,就想到格芯。”

跟踪IP就绪性

晶圆厂IP和客户工程副总裁John Kent表示,一个芯片设计可能需要20个甚至更多的不同IP。Kent说道:“我们跟踪IP就绪情况,这个词的意思是当客户希望进行设计时,我们是否拥有了所有必需的IP。”就绪性指标是“我们是否能够为客户提供服务的一大关键指标。”Kent说,另外一个重要指标是一次性正确率,目的是确保IP的所有DC参数都是准确的。

他说:“在与新客户合作时,我们的亲身实践经验可以告诉我们:我们在哪些方面是世界一流的,在哪里方面尚待改进。我们作为一个团队面临的最大挑战是,在我们放弃7纳米工艺之后,利用团队在7纳米工艺或其他平台上积累的经验,重新平衡我们的资源。”

Kent表示,其他格芯技术平台吸引了更多关注,包括他们长期重视的PDK(产品开发套件)改进。Kent说:“过去十年内,我们在PDK方面的知识不断积累,我们学会了及时执行。通过这个过程,以及我们的这次战略转变,我们将首要PDK开发重点从FDX和FinFET转移到格芯为客户提供的其他18个系列产品上,从而将PDK资源重新部署到这些技术上。

在22FDX基础IP方面,格芯主要但不完全依赖于Invecas,该公司包括以前的IBM存储器IP团队成员。Kent谈到了Invecas:“他们是一个优秀的团队,提供非常出色的产品。”

Ireland说:“我们的22FDX基础IP来自于Invecas,最近我们还扩展了生态系统,包括来自Synopsys的汽车IP。我们的目的是与多家供应商展开合作。”与Synopsys的协议包括基础IP,以及面向各种汽车应用的模拟和接口IP,包括ADAS、动力总成、5G和雷达。

基础IP可能非常复杂

基础IP(即FIP)的复杂度从简单到中等。多个电压的通用型IO可能涉及多个不同的金属堆栈,其设计可能非常复杂。Kent说:“通常在我们发布库时,FIP内部包括数千个单独的库单元。”

存储器,包括静态RAM、ROM、闪存和更新的MRAM,也属于FIP的一部分,因为它们与I/O相似,都是设计的基础。但存储器IP非常复杂,存在复杂的信号传输问题,需要进行纠错。

所谓的复杂IP通常包括大量的模拟和混合信号内容。32-Gbps SERDES可能具备很多数字模式功能,还有复杂的混合信号,以便支持信号和功率参数。

格芯一直在与Everspin携手共同开发新的IP,支持基于22FDX和FinFET工艺的嵌入式MRAM。Kent表示,MRAM相对于闪存具有诸多优势,包括亚纳秒级的写入时间(而闪存的写入时间则长达数毫秒)和非常强大的防故障能力。Kent说:“我们正在开发新的IP来支持MRAM,具备能够与SRAM相媲美的性能。”

汽车应用是MRAM的主要目标。Kent说:“未来的汽车将采用大量传感器,所有部件必须安全运行。由于集成电路必须在汽车中工作更长时间,比如它应该超过计算机的使用寿命,因此我们正在考虑采用MRAM。”

关于作者

Dave Lammers
 

Dave Lammers是固态技术特约撰稿人,也是格芯的Foundry Files的特约博客作者。他于20世界80年代早期在美联社东京分社工作期间开始撰写关于半导体行业的文章,彼时该行业正经历快速发展。他于1985年加入E.E. Times,定居东京,在之后的14年内,足迹遍及日本、韩国和台湾。1998年,Dave与他的妻子Mieko以及4个孩子移居奥斯丁,为E.E Times开设德克萨斯办事处。Dave毕业于美国圣母大学,获得密苏里大学新闻学院新闻学硕士学位。

GF spielt eine Rolle im Quanten-Ökosystem

von: Dave Lammers

Ich traf Sorin Voinigescu zum ersten Mal 1995, als er - mit einem frisch erworbenen Doktortitel in der Hand - auf dem International Electron Devices Meeting (IEDM) einige der frühen Arbeiten zu HF-Schaltungen in CMOS-Technologie vorstellte.

Fast 24 Jahre später forscht Voinigescu ebenso innovativ im Bereich der Quanteninformatik und nutzt den 22FDX®-Prozess von GLOBALFOUNDRIES (GF), um zu untersuchen, wie Qubits in die HF-Steuer- und Ausleseschaltungen integriert werden können. Und Voinigescu sieht eine Art Moore'sches Gesetz für Quantengeräte, bei dem verkleinerte Qubits und unterstützende Schaltkreise bei höheren Temperaturen arbeiten können, wodurch das knappe Helium, das in der heutigen Kryotechnik verbraucht wird, vielleicht überflüssig wird.

Heutige Quantengeräte sind größtenteils supraleitende Josephson-Übergangs-Bauelemente, die bei Millikelvin-Temperaturen arbeiten und über Drähte mit der Steuer- und Messelektronik verbunden sind. Voinigescus Labor an der Universität von Toronto untersucht, wie man halbleiterartige Qubits herstellen kann, die mit Millimeterwellensignalen gesteuert werden können. Die heutigen supraleitenden Qubits haben Quantenenergie-Trennniveaus im Bereich von 5-10 GHz. Um das Quantengatter zu betreiben, müssen die Mikrowellen-Steuersignale bei dieser Frequenz liegen, also im Bereich von 5-10 GHz.

"Alle Qubits, unabhängig von ihrer Implementierung, ahmen einen Spin nach, und die Steuerung erfolgt mit einem Signal, das mit der Elektronenspin-Resonanzfrequenz des Qubits in Resonanz treten muss", erklärte Prof. Voinigescu. Man kann sich das so vorstellen, dass jedes Quantengatter das Äquivalent eines 5G-Mobilfunksignals erfordern könnte, vielleicht im 60-GHz-Bereich. Tatsächlich wurde er vor einigen Jahren auf das Gebiet der Quanteninformatik aufmerksam, als er an einer Sitzung zum Thema Quanteninformatik auf der IEDM teilnahm und erkannte, dass seine zwei Jahrzehnte währende Forschung auf dem Gebiet der Hochfrequenzschaltungen eine Rolle auf dem Gebiet der Quanteninformatik spielen könnte.

22FDX bei 3,3 Grad Kelvin

Bei der Suche nach Quantencomputern für höhere Temperaturen, bei denen die Geräte von jeglicher Wärme oder Störung isoliert sein müssen, gibt es eine "Dreifaltigkeit", wie er es nennt. Je kleiner die Gate-Breite des Transistors ist, desto höher ist die Frequenz, die zur Anregung des Qubit-Gates erforderlich ist, und desto höher ist die Temperatur, bei der es betrieben werden kann. Die 22FDX-basierten Bauelemente, die das Voinigescu-Labor untersucht, haben eine Gate-Breite von 50 nm (die Gate-Länge beträgt 18 nm und die Kanaldicke 6-7 nm). Durch die Verringerung der Gate-Breite kann eine etwas höhere Temperaturumgebung für die Qubits, Steuer- und Messschaltungen genutzt werden.

Und das Coole (entschuldigen Sie das Wortspiel) am 22FDX-Verfahren ist etwas, das das Labor in Toronto und seine Partner kürzlich entdeckt haben: Bei den extrem niedrigen Temperaturen, die für Quantensysteme erforderlich sind, verbessert sich die Leistung der aktiven und passiven Hochfrequenzbauteile tatsächlich.

Das Team der Universität Toronto, das u. a. mit GF und den Industriepartnern Lake Shore Cryotronics und Keysight Technologies zusammenarbeitet, berichtete auf der RFIC-Konferenz 2019 im Juni in Boston, wie mit dem 22FDX-Verfahren monolithisch integrierte Doppel-Quantenpunkte mit Auslese-Transimpedanzverstärkern (TIAs) hergestellt wurden, deren Ausgang auf 50 Ω abgestimmt ist.

Noch wichtiger für das Schaltungsdesign ist, dass die Forscher feststellten, dass sich die Hochfrequenzleistung aller aktiven und passiven Bauelemente, die in einer 22nm 22FDX-Produktionstechnologie hergestellt wurden, bei 3,3 Grad K verbesserte, wobei die Polysilizium-Widerstände nicht variierten und der Qualitätsfaktor der MOM-Kondensatoren verbessert wurde.

"Das Besondere an FD-SOI ist, dass die Schaltkreise bei niedrigen und hohen Frequenzen nicht durch Entionisierung beeinträchtigt werden, wie es bei Bulk-MOSFETs der Fall ist. Aus diesem Grund erhalten wir eine wesentlich bessere Leistung bei niedrigen Temperaturen, gemessen bis zu 2 Grad Kelvin. Tatsächlich sehen wir signifikante Verbesserungen bis hinunter zu 60-70 Grad K, und darunter bleibt die Leistung im Wesentlichen gleich", sagte er. Transkonduktanz, Mobilität und fmax haben sich alle verbessert, und das hat wichtige Auswirkungen auf den Weltraum, Satelliten und andere Niedrigtemperaturumgebungen.

Bei niedrigen Temperaturen steigen die Schwellenspannungen für n-MOSFETs und sinken für p-MOSFETs, unabhängig von der Technologie. Bei FD-SOI kann das Back-Gate verwendet werden, um die Vts auf den optimalen Betriebspunkt einzustellen. Die Schaltungen können bei Raumtemperatur entworfen und dann bei niedrigen Temperaturen "validiert" werden, indem die Vt's mit Back-Gate-Biasing eingestellt werden. Schaltungen, die bei Raumtemperatur einen "Sweet Spot" finden, so Voinigescu, können diese Stromdichte bis zu 2 Grad Kelvin beibehalten.

Quelle: Internationaler Workshop über kryogene Elektronik für Quantensysteme, Professor Sorin Voinigescu, Universität von Toronto, Juni 2019

Kleinere Abmessungen helfen, die Temperaturen zu erhöhen

Jamie Schaeffer, Product Offering Manager für die 22FDX- und 12FDX-FD-SOI-Plattformen bei GF, erklärt, dass die Qubits in der aktiven Schicht von sechs oder sieben Nanometern entstehen, die Coulomb- und Spin-Blockade-Bauelemente einschließt, die durch das vergrabene Oxid gewissermaßen eingekesselt sind. "Wir müssen die Spin-Schichten dazu bringen, miteinander zu interagieren, und mit fortschrittlicheren Dimensionen können wir uns ihnen annähern. Da wir von 22 auf 12 FDX gehen, dienen die kleineren Abmessungen dem Ziel des Quantencomputings bei höheren Temperaturen", so Schaeffer.

Nigel Cave, ein Technologe, der im CTO-Büro von GF arbeitet, sagte, dass es mit der Skalierung von Halbleiter-Qubits auf kleinere Dimensionen möglich sein könnte, die Betriebstemperatur des Quantensystems auf über 4 Grad Kelvin zu bringen, statt auf 10-100 MilliKelvin wie bei den heutigen Systemen. Dies würde die Verwendung eines Standard-Helium-Kryostats anstelle eines Verdünnungskryostats ermöglichen, wodurch die Kosten gesenkt und außerdem 1-2 Watt Gesamtleistung aus dem System entfernt werden könnten. "Die Möglichkeit, mehr Leistung zu entfernen, ebnet möglicherweise den Weg für die gemeinsame Integration der Qubits und ihrer Steuerschaltungen in ein und dasselbe FDX-basierte Gerät", so Cave.

Schaeffer sagte, dass IBM, Google, Intel, Microsoft und andere große Quantenforschungsprogramme betreiben. "In unserem Fall glauben wir, dass wir etwas beitragen können, das unseren Partnern, die auf dem Gebiet der Quantenwissenschaften bedeutende Arbeit leisten, hilft. Wir haben ein Toolset, das herstellbar ist, und die Nutzung unserer Prozessintegrationsfähigkeiten ist ein Weg, um die Kosten zu senken."


Quelle: Internationaler Workshop zu kryogener Elektronik für Quantensysteme, equal1.labs https://equal1.us/technology

Zwei Camps im Quanten-Ökosystem

Ted Letavic, Vizepräsident und Senior Fellow bei GF, sagte, dass sich die Quantencomputer-Gemeinschaft in zwei Lager aufteilen lässt: Diejenigen, die nach Möglichkeiten suchen, Tausende von Qubits zu erzeugen, um die Leistung von Quantencomputern zu erhöhen, und diejenigen, die sich dafür einsetzen, dass die derzeit existierenden Systeme mit etwa 50 bis 100 Qubits für die Lösung von Problemen in der realen Welt besser genutzt werden.

"Die eine Fraktion sagt, wir brauchen Tausende von Qubits, die andere Fraktion sagt, wir haben jetzt 50-100 Qubit-Systeme und wissen nicht, was wir mit ihnen machen sollen. Eine Antwort ist der freie Zugang in Konsortien. Gemeinsam können wir am besten herausfinden, wie wir sie nutzen, wie wir einen wirtschaftlichen Wert schaffen und unsere Wirtschaft voranbringen können", sagte er.

GF verfügt über "einige Schlüsseltechnologien, die helfen können" und fungiert als foundry für Start-ups, Universitäten und andere, die verschiedene Ansätze untersuchen. Letavic, Cave und John Pellerin, stellvertretender CTO und Vizepräsident für weltweite Forschung und Entwicklung, lieferten dem Energieministerium, das Anfang des Jahres eine Informationsanfrage zur bestmöglichen Organisation der Quantum Information Science Centers (QISCs) veröffentlicht hatte, ihren Input.

Sie argumentierten, dass die derzeitige Forschungs- und Entwicklungsarbeit größtenteils in nicht standardisierten Universitätslaboratorien durchgeführt wird, während GF eine Prozessintegration und eine frühe Fertigung für Forscher, Start-ups und andere Teilnehmer an den QISCs anbieten könnte. Die Zusammenarbeit mit Gießereien würde sicherstellen, dass "Geräte, die das Potenzial von Quantensystemen erschließen sollen, in großem Umfang mit den vorhandenen Produktionsanlagen hergestellt werden können".

Letavic verwies auf die Arbeit von Prof. Voinigescu als ein Beispiel aus der Praxis, bei dem sich FD-SOI-Bauelemente als vorteilhaft für E/A bei 4 Grad Kelvin erwiesen haben und eine vielversprechende Quelle für Qubit-Transistoren darstellen, die in der sehr dünnen FD-SOI-Schicht eingeschlossen sind. Für das Projekt in Toronto wurden Wafer-Shuttles verwendet, die in der Produktionsstätte von GF in Dresden (Deutschland) verarbeitet wurden.

GF verfügt auch über eine Silizium-Germanium-Plattform und eine Silizium-Photonik-Plattform, die eine Rolle bei der Erschließung der Quantenphysik spielen könnten.

"Ich glaube an die Quantencomputer, aber sie werden zu den klassischen Computern additiv sein", sagte Letavic. "Die Gesellschaft, die als erste eine Infrastruktur für Quantencomputer schafft, wird einen sehr großen wirtschaftlichen Vorteil gegenüber den anderen haben. Und ob man nun zu denjenigen gehört, die die maximale Anzahl von Qubits anstreben, oder zu denjenigen, die herausfinden wollen, wie man Quantensysteme bestmöglich nutzen kann, GF spielt in beiden Bereichen mit."

Über den Autor

Dave Lammers schreibt für Solid State Technology und ist Blogger für die Foundry Files von GF. Dave Lammers begann über die Halbleiterindustrie zu schreiben, als er Anfang der 1980er Jahre im Tokioter Büro von Associated Press arbeitete, einer Zeit des schnellen Wachstums der Branche. 1985 wechselte er zur E.E. Times, für die er in den folgenden 14 Jahren von Tokio aus über Japan, Korea und Taiwan berichtete. Im Jahr 1998 zogen Dave, seine Frau Mieko und ihre vier Kinder nach Austin, um ein texanisches Büro für die E.E. Times einzurichten. Als Absolvent der University of Notre Dame erwarb Dave einen Master-Abschluss in Journalismus an der University of Missouri School of Journalism.

 

GLOBALFOUNDRIES: Damals und heute

von: Gary Dagastine

Der erste Teil einer dreiteiligen Serie blickt zurück auf die ersten 10 Jahre von GF und voraus auf das nächste Jahrzehnt und darüber hinaus.

GLOBALFOUNDRIES feiert sein 10-jähriges Bestehen und befindet sich an einem wichtigen Wendepunkt. Angetrieben von finanziellen Zwängen und sich verändernden Geschäftsmöglichkeiten hat CEO Tom Caulfield einen umfassenden strategischen Wandel eingeleitet. Ziel ist es, die Ressourcen des Unternehmens besser zu nutzen und die Investitionsrendite zu steigern, indem man sich auf Anwendungen konzentriert, bei denen die vielfältigen, differenzierten Technologien von GF deutliche Vorteile bieten.

Um dies zu erreichen, ist es unerlässlich, dass die Mitarbeiter von GF gemeinsame Ziele verfolgen, und es gibt eine unternehmensweite Initiative mit dem Namen ONEGF, die dies erleichtern soll. Aber das ist für jedes Unternehmen kein einfacher Prozess, und er ist noch schwieriger, wenn man die Ursprünge von GF bedenkt: Es begann als Abspaltung der AMD-internen Fertigung in Dresden, Deutschland; dann erwarb GF die Chartered Semiconductor foundry in Singapur; baute eine neue Anlage foundry in Malta, NY; und als ob das noch nicht genug wäre, erwarb es die ehemalige IBM-interne Technologieentwicklungsgruppe und die Chipfertigung in New York und Vermont.

In Anbetracht der vielen Veränderungen, die stattgefunden haben, wollte Foundry Files von langjährigen Mitarbeitern aus verschiedenen Bereichen des Unternehmens wissen, wie GF dorthin gekommen ist, wo es heute steht, um zu erfahren, ob es Lehren aus diesen Erfahrungen gibt, die bei den vor uns liegenden Herausforderungen helfen können.

Lesen Sie weiter, um zu erfahren, warum die folgenden GF-Mitarbeiter der Meinung sind, dass der Sinn für gemeinsame Ziele, die Konzentration auf den Kunden und die Zufriedenheit mit verschiedenen Arten von technologischen Innovationen der Schlüssel zum Erfolg im nächsten Jahrzehnt sein könnten.

Learning by Doing in Dresden: Von einer IDM zu einer Foundry

"Wir können viele Narben vorweisen", sagte Jens Drews, Director of Communications & Government Relations für Fab 1 in Dresden, und bezog sich dabei auf die schwierige Umstellung der Fab von einer reinen Produktionsstätte für AMD-Mikroprozessoren zu einer foundry , die die vielfältigen Anforderungen neuer Kunden erfüllt und gleichzeitig mehrere neue Technologien einführt.

Auf die Frage, wie er die Entwicklung der Website in den letzten zehn Jahren beschreiben würde, dachte Jens einen Moment nach und kam dann mit der ersten Zeile aus Charles Dickens' Eine Geschichte aus zwei Städten zurück: "Es waren die besten Zeiten, es waren die schlimmsten Zeiten, ... es war die Zeit des Lichts, es war die Zeit der Dunkelheit; es war der Frühling der Hoffnung, es war der Winter der Verzweiflung", und er fügte hinzu, dass die Dynamik und das Wachstumspotenzial der fab eindeutig auf die "besten Zeiten" hinwiesen.

Jens, das Sprachrohr des Dresdner Standorts von GF gegenüber den Mitarbeitern, den deutschen und europäischen Medien und Regierungen, weiß das, denn er ist seit über 23 Jahren am Standort und hat die Veränderungen aus erster Hand miterlebt.

"Wir begannen in Dresden mit einem klaren Ziel - mit Intel bei CPUs zu konkurrieren - und wir waren ungeheuer stolz, als wir das geschafft hatten", sagte er. "Aber in den letzten Jahren, als wir noch zu AMD gehörten, vollzog sich in der Chipindustrie ein großer Paradigmenwechsel, weg vom ursprünglichen IDM-Modell hin zu einem Modell, das den Aufstieg von fabless und fab-light-Unternehmen und Foundries mit sich brachte. Eine Zeit lang war AMD Dresden eine Insel der Stabilität in einem Meer von Veränderungen, und wir gingen unserer Arbeit wie immer nach, immer nur mit einem Kunden, einer Technologie und einer Produktfamilie auf einmal. Aber Anfang der 2000er Jahre war klar, dass die Veränderungen in unserer Branche Dresden irgendwann einholen würden - und zwar wahrscheinlich eher früher als später."

"Als wir dann Teil von GF wurden, fanden wir uns buchstäblich über Nacht in einem völlig anderen Ballspiel wieder. Es war, als würde man von Volleyball auf Rugby umsteigen. Sie können sich vorstellen, dass es Zeit und einige harte Schläge brauchte, bis wir uns an die Regeln des neuen Spiels gewöhnt hatten", erinnert er sich.

"Welcome to GLOBALFOUNDRIES Fab 1" wurde im März 2009 auf die Fassade des Dresdner Bürogebäudes projiziert.

Jens erwähnte zum Beispiel den steilen Einstellungsanstieg in den Jahren 2009/10, der viel "neues Blut" von Unternehmen wie Qimonda, Chartered Semiconductor und aus der Solarindustrie brachte. Sie kamen zu einem ziemlich homogenen Team, das tief in die AMD-Kultur eingewurzelt war. "Obwohl dies für Aufregung und neue Perspektiven sorgte, war es dennoch ein Schock für das System, denn bis dahin waren wir sozusagen eine fröhliche Schar von AMD-Brüdern und -Schwestern gewesen, und nun mussten wir überall mit Veränderungen fertig werden. Sicherlich haben wir eine Phase der Wachstumsschmerzen durchgemacht", sagte er.

Aber das war damals, und heute ist GF Dresden eine feste Größe in der weltweiten foundry Industrie. Die innovative 22FDX® FD-SOI-Technologie von GF ist auf dem Vormarsch und stößt bei einigen der am schnellsten wachsenden Anwendungen in der Branche auf immer größeres Interesse, ebenso wie die Plattformen mit 28, 40 und 55/65 nm.

"Heute haben wir eine langfristige Strategie, die Dresden als High-Mix 'More than Moore' foundry Fab mit Fokus auf anspruchsvolle Märkte wie Automotive, Security, 5G und AI positioniert. Damit werden wir jetzt Teil wichtiger europäischer industrieller Wertschöpfungsketten wie der Automobilindustrie", sagte er. Jens merkte an, dass die Neuausrichtung des Unternehmens im Jahr 2018 mit der strategischen Entwicklung des Standorts Dresden weg von der reinen Skalierung hin zu funktionsreichen Plattformen für neue Märkte neben der Computer- und Kommunikationstechnik einhergeht.

"Wir haben noch nie eine bessere Abstimmung zwischen Unternehmens- und Standortstrategien gesehen, was es uns ermöglicht, uns voll und ganz auf die vielfältigen Bedürfnisse neuer und alter Kunden und ihrer spannenden Märkte zu konzentrieren."

Seine Schlussfolgerung: "Die Zukunft von Dresden sieht rosig aus, eine 'Jahreszeit des Lichts', wie Charles Dickens sagen würde. Wir haben den Wert, den wir für unsere Kunden und ihre Märkte schaffen, erfolgreich neu definiert. Unser Wachstumspotenzial ist real und wir haben - manchmal auf die harte Tour - gelernt, was von uns erwartet wird: Starke Plattformen, die für die Kunden unserer Kunden den Unterschied ausmachen, kontinuierliche Innovation und natürlich eine solide Umsetzung mit Fokus auf Qualität, Kosten und Ergebnis."

Der Kunde im Mittelpunkt

Wenn es in Fab 1 darum ging, ein gemeinsames Ziel zu erreichen, so ist es eine Welt weiter in der Fab 7 von GF in Singapur das Hauptziel, die Kunden zufrieden zu stellen und solide finanzielle Erträge zu erzielen. Das sagt Peter Benyon, Vice President und General Manager von Fab 7. Peter Benyon, der seit 20 Jahren zu gleichen Teilen bei GF und Chartered Semiconductor beschäftigt ist, wurde kürzlich mit Wirkung zum 1. Juli zum Vice President und General Manager von Fab 8 in Malta, NY, ernannt.

Die Fabriken von GF in Singapur bieten eine Reihe von ausgereiften 200- und 300-mm-Prozessen und werden bald auch die 8SW-Technologie von GF für HF-Anwendungen anbieten, die von der Fabrik 10 in East Fishkill, NY, dorthin verlegt wird. Die Fabrik 10 wird an ON Semiconductor verkauft. (Die in East Fishkill ansässige 45RFSOI- und Silizium-Photonik-Technologie von GF wird nach Malta verlagert).

Von Anfang an haben die Mitarbeiter in Singapur einen starken Kundenfokus und eine "First-Time-Right"-Mentalität in der Fertigung, die sowohl zur Kundenzufriedenheit als auch zu guten Margen für GF geführt hat.

GF's "Eröffnungstag" in Singapur

"Wir waren von Anfang an foundry und haben uns immer stark auf den Kunden konzentriert, denn wenn es um ausgereifte Technologien geht, sind die Preise und andere Leistungen, die von konkurrierenden Foundries angeboten werden, in der Regel gleich hoch. Daher lautet die Frage, die sich der Kunde stellt, immer: "Warum sollte ich zu Ihnen kommen?" sagte Peter.

"Unsere Antwort darauf ist, dass wir uns auf ihre Bedürfnisse konzentrieren, indem wir ihrer Arbeit je nach Bedarf Vorrang einräumen, Flexibilität bieten, die unsere Konkurrenten normalerweise nicht haben, und das tun, was wir versprechen. Infolgedessen haben wir viele starke, langjährige und für beide Seiten vorteilhafte Beziehungen aufgebaut", sagte er.

Foundry Files fragte, wie man diese Einstellung im gesamten Unternehmen durchsetzen könne. "Es wäre falsch, zu versuchen, dies zu erreichen, indem man anderen GF-Standorten eine Kultur aufzwingt. Das ist schon einmal versucht worden und hat nicht funktioniert. Aber die Kundenbedürfnisse immer und überall in den Vordergrund zu stellen, muss Teil unserer DNA sein", sagte er.

Peter wird diese Herausforderung in seiner neuen Rolle in Fab 8 annehmen, wo seine Kundenorientierung und seine bewährte operative Kompetenz die Fähigkeit von GF verbessern werden, einen schnell wachsenden Kundenstamm zu bedienen, und einen Wettbewerbsvorteil über die Weltklasse-Technologien der Fabrik hinaus bieten werden.

Mit Freude neue Wege der Innovation beschreiten

"Innovation ist das, was unsere Mitarbeiter begeistert, aber Skalierung ist nicht die einzige Art von Innovation. Für Menschen, die neue und einzigartige Dinge tun wollen, gibt es unendlich viele Möglichkeiten. Die Silizium-Photonik ist ein Beispiel dafür. Heute ist es ein kleiner Markt. Aber morgen kann er schon sehr groß sein, und wir helfen dabei, ihn zu definieren", sagte Neil Peruffo.

Neil ist Vice President und General Manager von Fab 10 in East Fishkill. Er ist seit mehr als 30 Jahren bei IBM und GF in den Bereichen Technologieentwicklung, Charakterisierung und Implementierung tätig.

GF erwirbt IBM Microelectronics-Fabrik in East Fishkill, NY im Juli 2015

IBM blickt auf eine lange und illustre Geschichte in der Halbleiterbranche zurück, mit einer Liste bemerkenswerter Errungenschaften und Persönlichkeiten, die hier nicht alle genannt werden können. Da der Schwerpunkt der Halbleitertätigkeit jedoch auf der Unterstützung des Mainframe- und Servergeschäfts von IBM lag, gab es innerhalb der Chipabteilung des Unternehmens Bedenken wegen eines "enger werdenden Korridors der Anwendbarkeit", wie Neil es ausdrückt, für seine fortschrittlichste und teuerste Technologie.

Als GF 2015 die Übernahme des Halbleitergeschäfts von IBM ankündigte, habe sich die Besorgnis in Begeisterung gewandelt, weil sich dadurch neue Horizonte eröffneten.

"Selbst als wir die fortschrittliche 14-nm-SOI-Technologie für IBM-Server einführten, sahen wir, dass die Auslastung unserer Fabrik zurückging, und obwohl wir wussten, dass wir zum Kerngeschäft von IBM gehören, war klar, dass das Kerngeschäft weniger Silizium benötigt", sagte er. "Wir erkannten, dass unser Überleben davon abhing, in Bereiche wie RF und Silizium-Photonik zu expandieren, um den Auslastungstrend umzukehren. Also haben wir einen eigenen Pivot vollzogen, um genau das zu tun, noch bevor der GF Corporate Pivot stattfand."

Neil sagte, dass sich viele Teammitglieder nach der Übernahme stolz und erleichtert fühlten. Sie waren der Meinung, dass die Zugehörigkeit zu einem reinen Halbleiterunternehmen ( foundry ) es ihnen ermöglicht, ihre Karriere in der Halbleiterindustrie fortzusetzen und gleichzeitig neue Wachstumsmöglichkeiten zu schaffen.

Aber wie sieht es jetzt aus, wo GF sich von der Skalierung weg und hin zu differenzierten und derivativen Technologien bewegt? "Wenn man skaliert, ist es einfach zu sehen, was als nächstes kommt, weil es eine Roadmap gibt und man im Grunde weiss, was die nächsten Schritte sein werden. Auf dem Weg der Differenzierung, den GF beschreitet, müssen wir jedoch unseren eigenen Weg nach vorne finden, was bedeutet, dass eine gewisse Unsicherheit besteht und wir anders darüber nachdenken müssen, was Innovation bedeutet", sagte er.

"Was wird aus der KI? Was ist mit dem IoT? 5G? Wir müssen über die Skalierungs-Roadmap hinaus denken, denn es gibt einfach keine Roadmap für die Entwicklung von Lösungen in diesen verschiedenen Bereichen. Für unsere Mitarbeiter, die von Natur aus neugierig sind, die sich für Technologie begeistern und die neue und einzigartige Dinge tun wollen, bietet die Zukunft große Chancen."

In der nächsten Folge dieser Serie erzählt Gregg Bartlett, Senior VP of Strategy & Asset Management von GF, dem Journalisten Dave Lammers von den Höhen, Tiefen und unerwarteten Wendungen, die die Unternehmensstrategie von GF im Laufe der Jahre genommen hat.

Über den Autor

Gary Dagastine

Gary Dagastine

Gary Dagastine ist Autor, der über die Halbleiterindustrie für EE Times, Electronics Weekly und viele spezialisierte Medien berichtet hat. Er ist mitwirkender Redakteur der Zeitschrift Nanochip Fab Solutions und Direktor für Medienbeziehungen für das IEEE International Electron Devices Meeting (IEDM), die weltweit einflussreichste Technologiekonferenz für Halbleiter. Er begann seine Laufbahn in der Branche bei General Electric Co., wo er die Kommunikationsabteilung von GE in den Bereichen Stromversorgung, Analogtechnik und kundenspezifische ICs unterstützte. Gary ist ein Absolvent des Union College in Schenectady, New York.

 

Neue Erinnerungen schaffen: 22nm eMRAM ist bereit, eFlash zu verdrängen

von: Martin Mason

Ein greifbares Ergebnis dieses Engagements ist unsere 22-nm-FD-SOI (22FDX®)-Embedded-MRAM-Technologie für nichtflüchtige Speicher (NVM), die bei mehreren großen IoT-Kunden in die Pilotproduktion gegangen ist.

Die eingebettete STT-MRAM-Technologie (spin-transfer torque magnetoresistive RAM), die in Zusammenarbeit mit Everspin Technologies, Inc. zielt auf IoT, Allzweck-Mikrocontroller, Automotive, Edge AI und andere Anwendungen ab, bei denen ein stromsparender Betrieb und eine schnelle, robuste, nichtflüchtige Code- und Datenspeicherung eine wichtige Voraussetzung sind.

Die eMRAM-Technologie von GF ist einzigartig, da es sich um eine robuste MRAM-Lösung handelt, die als hochvolumiger Ersatz für Embedded Flash (eFlash) entwickelt wurde. Sie hat strenge Produktionstests unter realen Bedingungen bestanden und ermöglicht eine dauerhafte Datenspeicherung und Ausdauer bei hohen Temperaturen. Dies ist von entscheidender Bedeutung für Mikrocontroller-Anwendungen und drahtlos vernetzte IoT-Geräte, bei denen der eingebettete Speicher Code und Daten bei hohen Temperaturen beibehalten muss, einschließlich des Reflow-Lötens bei 260 °C während der Leiterplattenmontage. Er bietet außerdem um eine Größenordnung schnellere Lösch- und (Wieder-)Schreibgeschwindigkeiten als eFlash (200 Nanosekunden gegenüber 10 Mikrosekunden), bei vergleichbaren Lesegeschwindigkeiten, was in vielen Anwendungen einen Leistungsvorteil gegenüber eFlash bedeutet.

Obwohl zunächst der energieeffiziente 22FDX-Prozess von GF zum Einsatz kommt, wird MRAM in "Back-End-of-Line"-Metallisierung eingesetzt, was eine robuste Roadmap mit geplanten Derivaten sowohl auf FDX™- als auch auf GFs FinFET-Technologie ermöglicht. Das liegt daran, dass STT-MRAM als Speichertechnologie Prozessvariationen zulässt, die zur Abstimmung der Speicher-Bitzelle genutzt werden können. Dementsprechend gehen wir davon aus, dass wir zwei "Geschmacksrichtungen" von eMRAM anbieten werden: eMRAM-F auf 22FDX für die Code-/Datenspeicherung im Moment und eMRAM-S als Arbeitsspeicher, um SRAM auf 1x-Knoten in der Zukunft zu ergänzen.

GF betreibt derzeit Multi-Projekt-Wafer (MPWs) mit 22FDX-basierten eMRAM-F-Designs für mehrere Kunden, und in den nächsten drei Quartalen sind mehrere Produktions-Tape-outs geplant. Kundenspezifische Design-Services sind bei GF und unseren Design-Partnern erhältlich, und Design-Kits für den 22FDX eMRAM-Prozess sind mit Makro-Dichten von 4 MB bis 32 MB für einzelne Makros verfügbar. Ein 48-MB-Makro soll ebenfalls in 4Q19 auf den Markt kommen.

Die Industrie befindet sich in einer Übergangsphase

Das große Interesse an alternativen eingebetteten NVM-Technologien ist darauf zurückzuführen, dass sich die Branche derzeit in einer Übergangsphase befindet: Der 28-nm-Knoten ist möglicherweise der letzte kosteneffiziente Knoten für eFlash, und der Übergang zu 22-nm-Geometrien macht es zwingend erforderlich, eine Alternative zu finden, die für neue und schnell wachsende Low-Power-Anwendungen geeignet ist.

Viele neue eNVM-Speichertechnologien sehen interessant aus, sind aber noch nicht produktionsreif. RRAM (Resistive RAM) zum Beispiel, das Daten durch Änderung des elektrischen Widerstands eines Dielektrikums speichert, ist Gegenstand zahlreicher Forschungs- und Entwicklungsarbeiten, aber seine Reife auf 2xnm-Prozessknoten schränkt seine Einführung ein. Ebenso wird die Einführung von PCM-Speicher durch die fehlende Unterstützung von foundry unterhalb von 28nm begrenzt.

Quelle: GF

Im Gegensatz dazu ist eMRAM von GF eine besonders überzeugende und zeitgemäße Lösung. Obwohl die Technologie komplex ist und einen erheblichen Zeit- und Kostenaufwand für die Entwicklung und den Einsatz erfordert hat, bietet sie eine enorme Leistung und Vielseitigkeit. Neben den Leistungsvorteilen, die sich aus der Kombination des stromsparenden FDX-Silizium-auf-Isolator-Verfahrens mit eMRAM ergeben, verfügt das FDX-Verfahren von GF auch über branchenführende RF-Konnektivitätsfähigkeiten, und es ist umfangreiches IP von GF verfügbar. Dies alles ermöglicht die Bereitstellung einer einzigartigen Lösung mit hoher Leistung, hoher Integration, geringem Stromverbrauch und geringer Größe, die den Kunden einen enormen Mehrwert bietet.

Mit dem 22-nm-eMRAM-Produkt befindet sich GF bereits in der dritten Generation der MRAM-Technologie und hat im Rahmen einer gemeinsamen Entwicklungsarbeit auch die eigenständigen 256-Mb-40-nm- und 1-Gb-28-nm-MRAM-Produkte von Everspin hergestellt.

Neben der eMRAM-Technologie bietet GF seinen Kunden auch eingebettete eFlash- und System-in-Package-Flash-Speicher (SIP-Flash) an, die in verschiedenen Technologien von 130 nm bis 28 nm gefertigt werden, um eine breite Palette von Anwendungsanforderungen zu erfüllen.

Eine erfolgreiche Strategie

Die Einführung der 22FDX eMRAM-Technologie ist ein Beleg für den Erfolg der Bemühungen von GF, verstärkt in Bereiche zu investieren, in denen wir uns klar von unseren Mitbewerbern abheben und in denen wir für unsere Kunden einen großen Mehrwert schaffen können.

Über den Autor

Martin Maurer

Martin Maurer

Martin Mason ist Senior Director des Bereichs Leading-Edge eNVM bei GLOBALFOUNDRIES. Vor seinem Eintritt in das Unternehmen war er bei Maxim Integrated Products als Executive Director für Core Products und Precision Conversion Solutions tätig. Davor arbeitete er in den Bereichen Produktmarketing und Design/Application Engineering bei Atmel, Actel, Concurrent Logic und GEC Plessey Semiconductors. Er ist Absolvent der Universität von Newcastle upon Tyne in England.

 

格芯在美国和德国向台积电提起专利侵权诉讼

并申请禁制令以阻止侵权台湾产半导体产品的非法进口

加利福利亚州圣克拉拉,2019年8月26日——格芯(GLOBALFOUNDRIES),总部位于美国的全球领先的特殊工艺半导体代工厂,今日在美国和德国提起了多个法律诉讼,指控台湾积体电路制造股份有限公司(台积电)所使用的半导体生产技术侵犯了16项格芯专利。这些诉讼分别于今天向美国国际贸易委员会(ITC)、美国特拉华联邦地区法院、美国德克萨斯西区联邦地区法院,以及德国杜塞尔多夫地区法院和曼海姆地区法院提出。

在提起法律诉讼的同时,格芯还申请了法院禁制令,以阻止总部位于台湾的、在半导体生产领域处于垄断地位的台积电使用侵权技术生产的产品被进口至美国和德国。这些法律诉讼要求格芯指明台积电的主要客户以及下游电子公司,后者在大多数情况下才是包含了台积电侵权技术产品的实际进口人。格芯还基于台积电使用格芯专有技术而产生的数百亿美元的销售额而向台积电提出了巨额的损害赔偿请求。

 “尽管半导体生产在持续地向亚洲转移,格芯却反其道而行之,在美国和欧洲的半导体行业进行了大量投资。在过去的十年中,格芯共在美国投资超过150亿美元,并在欧洲最大的半导体生产基地投资超过60亿美元。我们提起法律诉讼的目的在于保护这些投资,以及在背后驱动着这些投资的基于美国和欧洲的技术创新”,格芯的工程及技术副总裁Gregg Bartlett如是评价道。“多年来,在我们投入数十亿美元进行本土的技术研发的同时,台积电却在非法从我们的投资中获利。此次采取行动,对于叫停台积电对我们关键资产的非法使用,并保护美国和欧洲的生产基地十分重要。”

格芯希望通过提起法律诉讼来保护其投资、资产和知识产权,并藉此确保半导体行业始终是充满竞争的行业,以保护行业客户的利益。

Media Fact Sheet

关于格芯

格芯是全球领先的特殊工艺半导体代工厂,提供差异化、功能丰富的解决方案,赋能我们的客户为高增长的市场领域开发创新产品。格芯拥有广泛的工艺平台及特性,并提供独特的融合设计、开发和生产为一体的服务。格芯拥有遍布美洲、亚洲和欧洲的规模生产足迹,以其灵活性与应变力满足全球客户的动态需求。格芯为阿布扎比穆巴达拉投资公司(Mubadala Investment Company)所有。欲了解更多信息,请访问 https://www.globalfoundries.com/cn。