GLOBALFOUNDRIES beschleunigt Einführung von 20nm-LPM- und 14nm-XM-FinFET-Prozessen mit umfassenden, produktionsreifen Design-Flows

Gemeinsam mit führenden EDA-Anbietern entwickelte Abläufe für AMS-Herausforderungen von der Spezifikation bis zur Verifizierung; vollständige Abläufe für digitales Design für Double Patterning

Milpitas, Kalifornien - 30. Mai 2013 - Auf der 50. Design Automation Conference (DAC) nächste Woche in Austin, Texas, wird GLOBALFOUNDRIES ein umfassendes Set zertifizierter Design-Flows zur Unterstützung seiner modernsten Fertigungsprozesse vorstellen. Die gemeinsam mit den führenden EDA-Anbietern entwickelten Flows bieten robuste Unterstützung für die Implementierung von Designs im 20-nm-Low-Power-Prozess und dem führenden 14-nm-XM-FinFET-Prozess des Unternehmens. In enger Zusammenarbeit mit Cadence Design Systems, Mentor Graphics und Synopsys hat GF die Flows entwickelt, um die drängendsten Design-Herausforderungen zu bewältigen, einschließlich der Unterstützung von Analog/Mixed-Signal (AMS)-Designs und fortschrittlichen digitalen Designs, wobei in beiden Fällen die Auswirkungen von Double Patterning auf den Flow demonstriert werden.

Die GF Design Flows arbeiten mit den Process Design Kits (PDKs) zusammen, um reale Beispiele zu liefern, die den gesamten Ablauf demonstrieren. Der Benutzer kann die Design-Datenbank, das PDK, die ausführliche Dokumentation und Skripte für mehrere Anbieter herunterladen, um zu lernen, wie der GF Design Flow eingerichtet und verwendet wird. Die Flows verwenden Open-Source-Beispiele und bieten dem Kunden funktionierende, ausführbare und anpassbare Flows.

"Als Entwickler der branchenweit ersten modularen 14-nm-FinFET-Technologie und als einer der führenden Hersteller von 20-nm-Bauelementen wissen wir, dass die Entwicklung von Designs für diese fortschrittlichen Prozessknoten innovative Methoden erfordert, um noch nie dagewesene Herausforderungen zu meistern", so Andy Brotman, Vice President of Design Infrastructure bei GF. "Durch eine neue Ebene der Zusammenarbeit mit EDA-Partnern können wir einen besseren Einblick in unsere Fertigungsprozesse geben, um die Möglichkeiten der 20nm- und 14nm-Fertigung voll auszuschöpfen. Dies bietet unseren gemeinsamen Kunden den effizientesten, produktivsten und risikoreduziertesten Ansatz, um funktionsfähiges Silizium zu erhalten."

Produktionsfertiger AMS-Ablauf von der Spezifikation bis zur Überprüfung

Um den besonderen Anforderungen des Analog/Mixed-Signal (AMS)-Designs bei fortgeschrittenen Prozessen gerecht zu werden, hat GF seine Design-Flows verbessert, um Skripte in Produktionsqualität und verpackte Methodologien bereitzustellen. Der neue Referenzablauf stellt einen Arbeitsablauf von der Spezifikation bis zur physischen Verifizierung dar, der auf funktionierendem Silizium verifiziert wurde.

Der AMS-Referenzablauf bietet umfassende Richtlinien für den Entwurf von Doppelmustern. Er gibt einen Überblick über den Dekompositionsfluss sowohl auf Block- als auch auf Chipebene. Der Fluss befasst sich auch mit der Dekomposition für verschiedene Designstile. Es werden Empfehlungen für Farbausgleich, hierarchische Dekomposition und ECO-Änderungen diskutiert. Die Abläufe zeigen auch die Auswirkungen der Dekomposition auf die DRC-Laufzeit und die resultierende Datenbankgröße.

Der Referenz-Flow unterstützt Effizienz- und Produktivitätsverbesserungen in der Cadence Virtuoso®-Umgebung speziell für das Design in einem doppelt strukturierten Prozess. Der Flow unterstützt Virtuoso Advanced Node 12.1 und bietet einen effizienten Zugang zu den Produktivitätsvorteilen des Tools für das physikalische Design mit farbbewusstem Layout in Echtzeit. Schaltungsentwickler können im Schaltplan "same net"-Einschränkungen zuweisen, und die Layoutentwickler können diese Anforderungen erfüllen, während sie die physische Ansicht erstellen. Darüber hinaus können die Layouter die Vorteile der Virtuoso-Werkzeugunterstützung für lokale Verbindungen und die erweiterte Verwaltung layoutabhängiger Effekte nutzen.

Der Flow bietet auch Interoperabilität mit Mentors Calibre® nmDRC™, nmLVS™ und Extraktionsprodukten, die die Anforderungen an Multipatterning für Doppel- und Dreifachstrukturierung erfüllen. Darüber hinaus werden spezielle Einstellungen für analoges Design, automatisches Nähen und dessen Verwendung sowie Füll- und Farbabgleich im Detail beschrieben.

Der AMS-Flow bietet detaillierte Informationen zur Extraktion von Parasiten und zu layoutabhängigen Effekten, die beide bei 20nm und 14nm neue Herausforderungen darstellen. Für die Parasitenextraktion werden die Abläufe detailliert beschrieben und anpassbare Skripte und Beispiele demonstrieren die OA- und DSPF-Back-Annotation. Darüber hinaus veranschaulichen die Flows Methoden zur Vorhersage von layoutabhängigen Effekten während des Schaltplanentwurfs und Methoden zur Einbeziehung vollständiger Modelle in die Post-Layout-Extraktion. PEX-Flows für Synopsys StarRC™-Extraktion, Cadence QRC und Mentor CalibrexRC™ werden unterstützt.

Diese Abläufe dienen als Referenzen, um die Korrektheit des begleitenden PDKs und der Herstellerwerkzeuge zu überprüfen.

Sign-off-fähige RTL2GDSII-Flows, die Doppelmusterung berücksichtigen

GF stellt außerdem neue Flows zur Verfügung, die eine vollständige RTL-to-GDSII-Designmethodik für seine 20nm- und 14nm-Fertigungsprozesse unterstützen. Das Unternehmen hat mit EDA-Anbietern zusammengearbeitet, um die Flows in ihren jeweiligen Umgebungen zu zertifizieren und eine Plattform für optimierte, technologiebewusste Methoden bereitzustellen, die die Leistungs-, Energie- und Flächenvorteile der Prozesse voll ausschöpfen.

Das Ergebnis ist eine Reihe vollständig ausführbarer Abläufe, die alle Skripte und Vorlagendateien enthalten, die zur Entwicklung einer effizienten Methodik erforderlich sind. Die Abläufe dienen als Referenz, um die Korrektheit des begleitenden PDK sowie die Einrichtung des Herstellerwerkzeugs zu überprüfen. Darüber hinaus bieten die Flows Zugang zu anderen wichtigen und nützlichen Informationen, wie z. B. Methodik-Tutorials, Richtlinien und Methoden für die Zerlegung von doppelt gemusterten Layouts, PEX/STA-Methodenempfehlungen und -Skripte sowie Designrichtlinien und Margenempfehlungen.

Ein entscheidender Aspekt der Fertigung auf dieser Ebene ist die Verwendung von Doppelstrukturen, eine zunehmend notwendige Technik im lithografischen Prozess bei fortgeschrittenen Knotenpunkten. Die Doppelstrukturierung erweitert die Möglichkeiten zur Nutzung aktueller optischer Lithografiesysteme, und die GF-Flows bieten umfassende Richtlinien für das Design von Doppelstrukturen. Sie befassen sich mit dem Design für Doppelstrukturierung und den zusätzlichen Ablaufschritten für verschiedene Designstile und Szenarien.

Dazu gehört auch die Unterstützung für die Prüfung auf ungerade Zyklen, eine neue Art von DRC-Regel, die erfüllt sein muss, um eine legale Zerlegung der Metalle in zwei Farben zu ermöglichen. Diese Prüfung wird im Ablauf detailliert beschrieben, und es werden Leitlinien bereitgestellt, um sicherzustellen, dass sie erfüllt wird.

Synopsys und GF arbeiteten zusammen, um die Auswirkungen von Änderungen, die mit der 3D-Natur von FinFET-Bauteilen im Vergleich zu planaren Transistoren verbunden sind, zu minimieren. Die beiden Unternehmen konzentrierten sich darauf, die Einführung von FinFET für das Designteam transparent zu machen. Die Zusammenarbeit beim RTL-to-GDSII-Flow von Synopsys umfasst die 3-D-Extraktion von Parasiten mit dem Synopsys StarRC™-Tool, die SPICE-Modellierung mit dem Synopsys HSPICE®-Produkt, die Entwicklung von Routing-Regeln mit dem Synopsys IC Compiler™-Tool und die statische Timing-Analyse mit dem Synopsys PrimeTime®-Tool.

Cadence steuerte einen kompletten RTL-GDSII-Flow bei, einschließlich physikalischer Synthese, Planung und Routing, der mit dem Encounter® Digital Implementation (EDI) System Foundation Flow entwickelt wurde. Der nahtlose Implementierungsfluss, der Cadence Encounter RTL Compiler und EDI System verwendet, unterstützt Double Patterning und erweiterte 20- und 14-nm-Routingregeln.

Mentors Olympus-SoC™ Place-and-Route-System wird im Flow unterstützt und bietet Unterstützung für neue DRC-, Double-Patterning- und DFM-Regeln. Der Olympus-SoC-Router verfügt über eine eigene native Farbgebungs-Engine sowie Verifizierungs- und Konfliktlösungs-Engines, die Double-Patterning-Verletzungen erkennen und automatisch beheben. Zu den erweiterten Funktionen gehören DP-bewusstes Pattern-Matching, farbbewusster Pin-Zugriff, Pre-Coloring von kritischen Netzen und DP-bewusste Platzierung. Das Produkt Calibre® InRoute™ ermöglicht es Olympus-SoC-Kunden, Calibre-Signoff-Engines während des Designs nativ aufzurufen, um einen effizienten und schnelleren Fertigungsabschluss zu erreichen.

Doppelmusterung wirkt sich auch auf LVS und andere DRC-Probleme aus, und die Abläufe bieten methodische Details zur Behandlung dieser Bereiche, einschließlich hierarchischer Dekomposition, um die Explosion der Datenbank zu reduzieren. Es werden auch Methoden und Skripte für die Extraktion von Parasiten bereitgestellt, die Möglichkeiten bieten, durch Doppelmusterung verursachte Variationen über DPT-Ecken oder mit maskierten PEX-Features anzugehen.

Über GF

GF ist der erste Full-Service-Halbleiterhersteller der Welt foundry mit einer wirklich globalen Präsenz. Seit seiner Gründung im März 2009 hat sich das Unternehmen schnell zum zweitgrößten foundry der Welt entwickelt und bietet mehr als 160 Kunden eine einzigartige Kombination aus fortschrittlicher Technologie und Fertigung. Mit Niederlassungen in Singapur, Deutschland und den Vereinigten Staaten ist GF der einzige foundry , der die Flexibilität und Sicherheit von Fertigungszentren auf drei Kontinenten bietet. Die drei 300-mm-Fabriken und die fünf 200-mm-Fabriken des Unternehmens bieten das gesamte Spektrum an Prozesstechnologien, vom Mainstream bis zur Spitzenklasse. Diese globale Produktionspräsenz wird durch wichtige Einrichtungen für Forschung, Entwicklung und Design Enablement unterstützt, die sich in der Nähe von Zentren für Halbleiteraktivitäten in den Vereinigten Staaten, Europa und Asien befinden. GF ist im Besitz der Advanced Technology Investment Company (ATIC). Weitere Informationen finden Sie unter https://www.globalfoundries.com.