Voreingenommenheit oder Nicht-Voreingenommenheit, das ist hier die Frage

Von Joerg Winkler

Einer der wichtigsten Bausteine für Anwendungen in den Bereichen Mobile, Pervasive und Intelligent Computing ist ein leistungsstarker Prozessor mit geringem Stromverbrauch. Für diese Anwendungen bietet GLOBALFOUNDRIES 22FDX®-Plattform mit 22nm Fully Depleted Silicon-on-Insulator (FD-SOI)-Technologie eine optimale Kombination aus Leistung, geringem Stromverbrauch und Kosten. Ein großer Vorteil von 22FDX ist die Möglichkeit, Leistung und Stromverbrauch zu optimieren, indem die Transistoren in Vorwärts- und Rückwärtsrichtung vorgespannt werden. Die Herausforderung für unser Entwicklungsteam bestand in der erfolgreichen Anwendung von Body-Bias zur Verbesserung der PPA eines Quad-Core ARM Cortex-A17-Prozessors, der in 22FDX FD-SOI-Technologie implementiert ist. In dem Webinar von GF, Implementierung eines ARM® Cortex®-A17-Prozessors in 22FDX-Technologieuntersuchen wir einen digitalen Implementierungsablauf mit branchenüblichen EDA-Tools, die Anwendung von Body-Bias für bestimmte Designzwecke und Leistungsszenarien, eine Analyse der physikalischen Architekturdetails und erste PPA-Ergebnisse eines ARM Cortex-Submoduls.

Vorurteil oder nicht Vorurteil - das ist hier die Frage

Das Konzept einer optimierbaren Technologieplattform birgt ein großes Potenzial, aber die Einführung einer neuen Plattform bedeutet oft auch die Einführung eines neuen Entwurfsablaufs. Und Ingenieure wissen, dass bei neuen Design-Flows der Weg vom Konzept zur Realität holprig sein kann, wenn die Implementierungsdetails nicht gut durchdacht sind. Glücklicherweise ist der Design-Flow des GF 22FDX FD-SOI so gestaltet, dass er dem bestehenden Bulk-Flow sehr ähnlich ist. Der 22FDX-Flow wird von allen großen EDA-Anbietern unterstützt und verwendet verschiedene Designtechniken (implant-aware, source/drain-aware, double patterning, UPF-Unterstützung), die bereits auf früheren Knotenpunkten eingesetzt wurden. In diesem Fall wird die Cadence-Tool-Suite von der ersten Design-Erstellung bis zur Abnahme verwendet. Wir beschreiben die Implementierung eines ARM-Cortex-Prozessors als Referenzdesign und zeigen, wie man eine breite Palette von PPA-Ergebnissen erhält, indem man sowohl Forward- als auch Reverse-Body-Bias auf verschiedene Domänen in einem Floorplan anwendet. Mit diesem leicht abstimmbaren Kompromiss können Sie effektiv zwischen höherer Leistung und geringerem Stromverbrauch abwägen, um die Gesamtleistungsspezifikationen und das Energiebudget eines SoC-Designs einzuhalten. Die Design-IP von GF für den ARM Cortex-A17-Prozessor umfasst Standard-Zellbasisbibliotheken, ein Power-Management-Kit und ein Cache-Speicher-Kit, jeweils mit Unterstützung für Body-Biasing. Die 22FDX-Plattform kann sofort für neue Designs eingesetzt werden. Das Starter-Kit des 22FDX Digital Design Flow ist ab sofort erhältlich. Zur Aufzeichnung des Webinars klicken Sie bitte hier. Weitere Informationen, einschließlich Videos und White Papers, finden Sie unter GF.com/22FDX.